2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.all;
6 port (clk, rst: std_logic;
7 cnt : out unsigned(3 downto 0));
10 architecture behav of restrict1 is
11 signal val : unsigned (3 downto 0);
15 if rising_edge(clk) then
17 val <= (others => '0');
25 --psl default clock is rising_edge (clk);
26 --psl restrict {rst; (not rst)[*]};