verilog: add sv_maps iterators
[ghdl-vlg.git] / testsuite / synth / psl01 / restrict2.vhdl
blob46e68fd4d0c936b15e12b3565b91e6bfdb7bdec6
1 library ieee;
2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.all;
5 entity restrict2 is
6  port (clk, rst: std_logic;
7        cnt : out unsigned(3 downto 0));
8 end restrict2;
10 architecture behav of restrict2 is
11   signal val : unsigned (3 downto 0);
12   default clock is rising_edge(clk);
13 begin
14  process(clk)
15  begin
16    if rising_edge(clk) then
17      if rst = '1' then
18        val <= (others => '0');
19      else
20        val <= val + 1;
21      end if;
22    end if;
23  end process;
24  cnt <= val;
26  restrict {rst; (not rst)[*]};
27 end behav;