5 use ieee.std_logic_1164.all;
6 use work.rec01_pkg.all;
8 architecture behav of tb_rec01 is
10 signal clk : std_logic;
11 signal rst : std_logic;
14 dut: entity work.rec01
15 port map (inp => inp, clk => clk, rst => rst, o => r);
28 assert r = '1' severity failure;
31 inp <= (a => "0010", b => '1');
33 assert r = '1' severity failure;
36 inp <= (a => "0001", b => '1');
38 assert r = '0' severity failure;