2 use ieee.std_logic_1164.all;
5 generic (w: natural := 4);
9 dout : out std_logic_vector (w - 1 downto 0));
12 architecture behav of slice01 is
13 signal r : std_logic_vector (w - 1 downto 0);
19 if rising_edge (clk) then
23 r (w - 2 downto 0) <= r (w - 1 downto 1);