2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.all;
7 dat : std_logic_vector (7 downto 0);
8 mask : std_logic_vector (1 downto 0);
9 res : out std_logic_vector (7 downto 0));
12 architecture behav of slice05 is
13 subtype nul_t is natural range 0 to 0;
16 procedure wr(d : inout std_logic_vector(7 downto 0);
17 v : std_logic_vector(7 downto 0);
20 d (p*3 + 7 downto p*3) := v;
23 z <= to_integer(unsigned(mask));
26 variable mem : std_logic_vector (7 downto 0);
28 if rising_edge (clk) then