5 use ieee.std_logic_1164.all;
7 architecture behav of tb_slice02 is
8 signal clk : std_logic;
9 signal di : std_logic_vector (7 downto 0);
10 signal mask : std_logic_vector (1 downto 0);
11 signal do : std_logic_vector (7 downto 0);
13 dut: entity work.slice02
15 port map (clk, di, mask, do);
25 constant b0 : std_logic_vector (3 downto 0) := "1101";
30 assert do = x"12" severity error;