5 use ieee.std_logic_1164.all;
7 architecture behav of tb_slice03 is
8 signal di : std_logic_vector (7 downto 0);
9 signal do : std_logic_vector (3 downto 0);
11 dut: entity work.slice03
18 assert do = x"1" severity error;
22 assert do = x"e" severity error;