5 use ieee.std_logic_1164.all;
6 use ieee.numeric_std.all;
8 architecture behav of tb_case02 is
9 signal sel : unsigned (3 downto 0);
10 signal det : std_logic_vector (1 downto 0);
12 dut: entity work.case02
19 assert det = "00" severity failure;
23 assert det = "01" severity failure;
27 assert det = "01" severity failure;
31 assert det = "10" severity failure;
35 assert det = "11" severity failure;