2 use ieee.std_logic_1164.all;
4 entity function_test is
9 i : in std_logic_vector(7 downto 0);
10 o : out std_logic_vector(7 downto 0)
14 architecture rtl of function_test is
16 function assign_value(value : in std_logic_vector(7 downto 0);
17 invert : in std_logic)
18 return std_logic_vector is
19 variable slv_out : std_logic_vector(7 downto 0);
23 elsif invert = '1' then
30 o <= assign_value(i, g);