verilog: add sv_maps iterators
[ghdl-vlg.git] / testsuite / synth / transoff01 / tb_translate_off2.v
blobe10af4c8f25f14a7040d92e44abfffe63ed676e3
1 module tb_toff2;
2 wire [7:0] a;
4 toff2 dut (a);
6 initial begin
7 # 1;
9 if (a !== 8'ha7)
10 $fatal(1, "FAILURE");
12 $display("PASS");
13 $finish;
14 end
15 endmodule