2 use ieee.std_logic_1164.all;
5 port (i : std_logic_vector;
6 o : out std_logic_vector);
9 architecture behav of uassoc01_sub is
15 use ieee.std_logic_1164.all;
18 port (i1 : std_logic_vector(3 downto 0);
19 i2 : std_logic_vector(7 downto 0);
20 o : out std_logic_vector(3 downto 0));
23 architecture rtl of uassoc01 is
24 signal o1: std_logic_vector(3 downto 0);
25 signal o2: std_logic_vector(7 downto 0);
27 dut1: entity work.uassoc01_sub
28 port map (i => i1, o => o1);
30 dut2: entity work.uassoc01_sub
31 port map (i => i2, o => o2);
33 o <= o1 xor o2 (3 downto 0);