2 use ieee.std_logic_1164.
all;
3 use ieee.numeric_std.
all;
7 width
: in natural
:= 32
13 d
: in std_logic_vector(width
-1 downto 0);
14 q
: out std_logic_vector(width
-1 downto 0)
18 architecture rtl
of counter
is
25 elsif rising_edge
(clk
) then
29 q
<= std_logic_vector(unsigned
(q
) + 1);