Random generate done.
[vutg.git] / src / gen / vut_Teste_de_geracao.v
blob4147751f5840565d2496b587515ff8f1947f9e91
2 /*
3 @The skeleton was generated by VUTGenerator@
4 =======================================
5 module_name: vut_Teste_de_geracao
6 ---------------------------------------
7 Author: <author>
8 Data: <date>
9 ---------------------------------------
10 Description: <description>
11 =======================================
14 module vut_Teste_de_geracao();
15 //Inputs
18 //Outputs
21 //Wires
22 wire [3:0] e;
23 wire [3:0] f;
24 wire [3:0] g;
26 //Regs
27 reg [3:0] a;
28 reg [3:0] d;
29 reg c;
31 //Behavior
34 reg [3:0] mem_a [0:10];
35 reg [3:0] mem_d [0:3];
36 reg [3:0] mem_e [0:3];
37 reg [3:0] mem_f [0:5];
38 reg [3:0] mem_g [0:3];
39 reg mem_c [0:10];
40 reg [3:0] tmp_e;
41 reg [3:0] tmp_f;
42 reg [3:0] tmp_g;
43 integer k;
44 event send, ready;
46 Teste_de_geracao test(
47 .a(a),
48 .c(c),
49 .d(d),
50 .e(e),
51 .f(f),
52 .g(g));
54 initial $readmemh("i_a.mem",mem_a);
55 initial $readmemh("i_c.mem",mem_c);
56 initial $readmemh("i_d.mem",mem_d);
57 initial $readmemh("o_e.mem",mem_e);
58 initial $readmemh("o_f.mem",mem_f);
59 initial $readmemh("o_g.mem",mem_g);
61 initial begin
62 $dumpfile ("waveform.vcd");
63 $dumpvars;
64 #1;
65 a = 0;
66 c = 0;
67 d = 0;
68 k = -1;
69 #4 -> ready;
70 end
73 always @ ready begin
74 k = k + 1;
75 a = mem_a[k];
76 c = mem_c[k];
77 d = mem_d[k];
78 tmp_e = mem_e[k];
79 tmp_f = mem_f[k];
80 tmp_g = mem_g[k];
81 if (k >= 11) begin
82 $display("|VUT_OK| > All the signals are right-right!\n\n By Rodrigo Peixoto\n");
83 #5 $finish;
84 end //if
85 else #2 -> send;
86 end
89 always @ send begin
90 if (e !== tmp_e) begin
91 $display("|VUT_FAIL|> Error in e value at time %0dns!!!",$time);
92 $finish;
93 end //if
94 if (f !== tmp_f) begin
95 $display("|VUT_FAIL|> Error in f value at time %0dns!!!",$time);
96 $finish;
97 end //if
98 if (g !== tmp_g) begin
99 $display("|VUT_FAIL|> Error in g value at time %0dns!!!",$time);
100 $finish;
101 end //if
102 #3 -> ready;
107 endmodule