1 //////////////////////////////////////////////////////////////////////
3 //// Generic Single-Port Synchronous RAM ////
5 //// This file is part of memory library available from ////
6 //// http://www.opencores.org/cvsweb.shtml/generic_memories/ ////
9 //// This block is a wrapper with common single-port ////
10 //// synchronous memory interface for different ////
11 //// types of ASIC and FPGA RAMs. Beside universal memory ////
12 //// interface it also provides behavioral model of generic ////
13 //// single-port synchronous RAM. ////
14 //// It should be used in all OPENCORES designs that want to be ////
15 //// portable accross different target technologies and ////
16 //// independent of target memory. ////
18 //// Supported ASIC RAMs are: ////
19 //// - Artisan Single-Port Sync RAM ////
20 //// - Avant! Two-Port Sync RAM (*) ////
21 //// - Virage Single-Port Sync RAM ////
22 //// - Virtual Silicon Single-Port Sync RAM ////
24 //// Supported FPGA RAMs are: ////
25 //// - Xilinx Virtex RAMB4_S16 ////
26 //// - Altera LPM ////
29 //// - xilinx rams need external tri-state logic ////
30 //// - fix avant! two-port ram ////
31 //// - add additional RAMs ////
34 //// - Damjan Lampret, lampret@opencores.org ////
36 //////////////////////////////////////////////////////////////////////
38 //// Copyright (C) 2000 Authors and OPENCORES.ORG ////
40 //// This source file may be used and distributed without ////
41 //// restriction provided that this copyright statement is not ////
42 //// removed from the file and that any derivative work contains ////
43 //// the original copyright notice and the associated disclaimer. ////
45 //// This source file is free software; you can redistribute it ////
46 //// and/or modify it under the terms of the GNU Lesser General ////
47 //// Public License as published by the Free Software Foundation; ////
48 //// either version 2.1 of the License, or (at your option) any ////
49 //// later version. ////
51 //// This source is distributed in the hope that it will be ////
52 //// useful, but WITHOUT ANY WARRANTY; without even the implied ////
53 //// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////
54 //// PURPOSE. See the GNU Lesser General Public License for more ////
57 //// You should have received a copy of the GNU Lesser General ////
58 //// Public License along with this source; if not, download it ////
59 //// from http://www.opencores.org/lgpl.shtml ////
61 //////////////////////////////////////////////////////////////////////
63 // CVS Revision History
66 // Revision 1.8 2004/06/08 18:15:32 lampret
67 // Changed behavior of the simulation generic models
69 // Revision 1.7 2004/04/05 08:29:57 lampret
70 // Merged branch_qmem into main tree.
72 // Revision 1.3.4.2 2003/12/09 11:46:48 simons
73 // Mbist nameing changed, Artisan ram instance signal names fixed, some synthesis waning fixed.
75 // Revision 1.3.4.1 2003/07/08 15:36:37 lampret
76 // Added embedded memory QMEM.
78 // Revision 1.3 2003/04/07 01:19:07 lampret
79 // Added Altera LPM RAMs. Changed generic RAM output when OE inactive.
81 // Revision 1.2 2002/10/17 20:04:40 lampret
82 // Added BIST scan. Special VS RAMs need to be used to implement BIST.
84 // Revision 1.1 2002/01/03 08:16:15 lampret
85 // New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
87 // Revision 1.8 2001/11/02 18:57:14 lampret
88 // Modified virtual silicon instantiations.
90 // Revision 1.7 2001/10/21 17:57:16 lampret
91 // Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
93 // Revision 1.6 2001/10/14 13:12:09 lampret
96 // Revision 1.1.1.1 2001/10/06 10:18:36 igorm
99 // Revision 1.1 2001/08/09 13:39:33 lampret
102 // Revision 1.2 2001/07/30 05:38:02 lampret
103 // Adding empty directories required by HDL coding guidelines
107 // synopsys translate_off
108 `include "timescale.v"
109 // synopsys translate_on
110 `include "or1200_defines.v"
112 module or1200_spram_1024x32(
115 mbist_si_i
, mbist_so_o
, mbist_ctrl_i
,
117 // Generic synchronous single-port RAM interface
118 clk
, rst
, ce
, we
, oe
, addr
, di
, doq
122 // Default address and data buses width
132 input [`OR1200_MBIST_CTRL_WIDTH - 1:0] mbist_ctrl_i;
137 // Generic synchronous single-port RAM interface
141 input ce
; // Chip enable input
142 input we
; // Write enable input
143 input oe
; // Output enable input
144 input [aw
-1:0] addr
; // address bus inputs
145 input [dw
-1:0] di
; // input data bus
146 output [dw
-1:0] doq
; // output data bus
149 // Internal wires and registers
152 `ifdef OR1200_ARTISAN_SSP
154 `ifdef OR1200_VIRTUALSILICON_SSP
157 assign mbist_so_o
= mbist_si_i
;
162 `ifdef OR1200_ARTISAN_SSP
165 // Instantiation of ASIC memory:
167 // Artisan Synchronous Single-Port RAM (ra1sh)
170 art_hssp_1024x32 #
(dw
, 1<<aw
, aw
) artisan_ssp(
173 art_hssp_1024x32_bist
artisan_ssp(
175 art_hssp_1024x32
artisan_ssp(
180 .
mbist_si_i(mbist_si_i
),
181 .
mbist_so_o(mbist_so_o
),
182 .
mbist_ctrl_i(mbist_ctrl_i
),
195 `ifdef OR1200_AVANT_ATP
198 // Instantiation of ASIC memory:
200 // Avant! Asynchronous Two-Port RAM
216 `ifdef OR1200_VIRAGE_SSP
219 // Instantiation of ASIC memory:
221 // Virage Synchronous 1-port R/W RAM
223 virage_ssp
virage_ssp(
235 `ifdef OR1200_VIRTUALSILICON_SSP
238 // Instantiation of ASIC memory:
240 // Virtual Silicon Single-Port Synchronous SRAM
243 vs_hdsp_1024x32 #
(1<<aw
, aw
-1, dw
-1) vs_ssp(
246 vs_hdsp_1024x32_bist
vs_ssp(
248 vs_hdsp_1024x32
vs_ssp(
253 .
mbist_si_i(mbist_si_i
),
254 .
mbist_so_o(mbist_so_o
),
255 .
mbist_ctrl_i(mbist_ctrl_i
),
268 `ifdef OR1200_XILINX_RAMB4
271 // Instantiation of FPGA memory:
382 `ifdef OR1200_ALTERA_LPM
385 // Instantiation of FPGA memory:
389 // Added By Jamil Khatib
396 initial $display("Using Altera LPM.");
398 lpm_ram_dq
lpm_ram_dq_component (
407 defparam lpm_ram_dq_component.lpm_width
= dw
,
408 lpm_ram_dq_component.lpm_widthad
= aw
,
409 lpm_ram_dq_component.lpm_indata
= "REGISTERED",
410 lpm_ram_dq_component.lpm_address_control
= "REGISTERED",
411 lpm_ram_dq_component.lpm_outdata
= "UNREGISTERED",
412 lpm_ram_dq_component.lpm_hint
= "USE_EAB=ON";
413 // examplar attribute lpm_ram_dq_component NOOPT TRUE
418 // Generic single-port synchronous RAM model
422 // Generic RAM's registers and wires
424 reg [dw
-1:0] mem
[(1<<aw
)-1:0]; // RAM content
425 reg [aw
-1:0] addr_reg
; // RAM address register
428 // Data output drivers
430 assign doq
= (oe
) ? mem
[addr_reg
] : {dw
{1'b0}};
433 // RAM address register
435 always @(posedge clk
or posedge rst
)
437 addr_reg
<= #1 {aw
{1'b0}};
444 always @(posedge clk
)
448 `endif // !OR1200_ALTERA_LPM
449 `endif // !OR1200_XILINX_RAMB4_S16
450 `endif // !OR1200_VIRTUALSILICON_SSP
451 `endif // !OR1200_VIRAGE_SSP
452 `endif // !OR1200_AVANT_ATP
453 `endif // !OR1200_ARTISAN_SSP