3 -- Copyright 2004-2008 oharboe - Øyvind Harboe - oyvind.harboe@zylin.com
7 -- Redistribution and use in source and binary forms, with or without
8 -- modification, are permitted provided that the following conditions
11 -- 1. Redistributions of source code must retain the above copyright
12 -- notice, this list of conditions and the following disclaimer.
13 -- 2. Redistributions in binary form must reproduce the above
14 -- copyright notice, this list of conditions and the following
15 -- disclaimer in the documentation and/or other materials
16 -- provided with the distribution.
18 -- THIS SOFTWARE IS PROVIDED BY THE ZPU PROJECT ``AS IS'' AND ANY
19 -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
20 -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
21 -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
22 -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
23 -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
24 -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
25 -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
26 -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
27 -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
28 -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
29 -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
31 -- The views and conclusions contained in the software and documentation
32 -- are those of the authors and should not be interpreted as representing
33 -- official policies, either expressed or implied, of the ZPU Project.
36 use ieee.std_logic_1164.
all;
37 use ieee.numeric_std.
all;
41 use work.zpu_config.
all;
44 entity dualport_ram
is
45 port (clk
: in std_logic;
46 memAWriteEnable
: in std_logic;
47 memAAddr
: in std_logic_vector(maxAddrBitBRAM
downto minAddrBit
);
48 memAWrite
: in std_logic_vector(wordSize
-1 downto 0);
49 memARead
: out std_logic_vector(wordSize
-1 downto 0);
50 memBWriteEnable
: in std_logic;
51 memBAddr
: in std_logic_vector(maxAddrBitBRAM
downto minAddrBit
);
52 memBWrite
: in std_logic_vector(wordSize
-1 downto 0);
53 memBRead
: out std_logic_vector(wordSize
-1 downto 0));
56 architecture dualport_ram_arch
of dualport_ram
is
59 type ram_type
is array(natural
range 0 to ((2**(maxAddrBitBRAM
+1))/4)-1) of std_logic_vector(wordSize
-1 downto 0);
61 shared variable ram
: ram_type
:=
1063 1000 => x"
71822e83"
,
1064 1001 => x"
38835171"
,
1065 1002 => x"
812e9a38"
,
1066 1003 => x"
8172269f"
,
1067 1004 => x"
3871822e"
,
1068 1005 => x"b8387184"
,
1069 1006 => x"
2ea93870"
,
1070 1007 => x"
730c7080"
,
1071 1008 => x"
0c843d0d"
,
1072 1009 => x"
0480e40b"
,
1073 1010 => x"
81b4b808"
,
1074 1011 => x"
258b3880"
,
1075 1012 => x"
730c7080"
,
1076 1013 => x"
0c843d0d"
,
1077 1014 => x"
0483730c"
,
1078 1015 => x"
70800c84"
,
1079 1016 => x"
3d0d0482"
,
1080 1017 => x"
730c7080"
,
1081 1018 => x"
0c843d0d"
,
1082 1019 => x"
0481730c"
,
1083 1020 => x"
70800c84"
,
1084 1021 => x"
3d0d0480"
,
1085 1022 => x"
3d0d7474"
,
1086 1023 => x"
14820571"
,
1087 1024 => x"
0c800c82"
,
1088 1025 => x"
3d0d04f7"
,
1089 1026 => x"
3d0d7b7d"
,
1090 1027 => x"
7f618512"
,
1091 1028 => x"
70822b75"
,
1092 1029 => x"
11707471"
,
1093 1030 => x"
70840553"
,
1094 1031 => x"
0c5a5a5d"
,
1095 1032 => x"
5b760c79"
,
1096 1033 => x"
80f8180c"
,
1097 1034 => x"
79861252"
,
1098 1035 => x"
57585a5a"
,
1099 1036 => x"
76762499"
,
1100 1037 => x"
3876b329"
,
1101 1038 => x"
822b7911"
,
1102 1039 => x"
51537673"
,
1103 1040 => x"
70840555"
,
1104 1041 => x"
0c811454"
,
1105 1042 => x"
757425f2"
,
1106 1043 => x"
387681cc"
,
1107 1044 => x"
2919fc11"
,
1108 1045 => x"
088105fc"
,
1109 1046 => x"
120c7a19"
,
1110 1047 => x"
70089fa0"
,
1111 1048 => x"
130c5856"
,
1112 1049 => x"
850b81b4"
,
1113 1050 => x"b80c7580"
,
1114 1051 => x"
0c8b3d0d"
,
1115 1052 => x"
04fe3d0d"
,
1116 1053 => x"
02930533"
,
1117 1054 => x"
51800284"
,
1118 1055 => x"
05970533"
,
1119 1056 => x"
54527073"
,
1120 1057 => x"
2e883871"
,
1121 1058 => x"
800c843d"
,
1122 1059 => x"
0d047081"
,
1123 1060 => x"b4bc3481"
,
1124 1061 => x"
0b800c84"
,
1125 1062 => x"
3d0d04f8"
,
1126 1063 => x"
3d0d7a7c"
,
1127 1064 => x"
5956820b"
,
1128 1065 => x"
83195555"
,
1129 1066 => x"
74167033"
,
1130 1067 => x"
75335b51"
,
1131 1068 => x"
5372792e"
,
1132 1069 => x"
80c63880"
,
1133 1070 => x"c10b8116"
,
1134 1071 => x"
81165656"
,
1135 1072 => x"
57827525"
,
1136 1073 => x"e338ffa9"
,
1137 1074 => x"
177081ff"
,
1138 1075 => x"
06555973"
,
1139 1076 => x"
82268338"
,
1140 1077 => x"
87558153"
,
1141 1078 => x"
7680d22e"
,
1142 1079 => x"
98387752"
,
1143 1080 => x"
75519bc3"
,
1144 1081 => x"
3f805372"
,
1145 1082 => x"
80082589"
,
1146 1083 => x"
38871581"
,
1147 1084 => x"b4b80c81"
,
1148 1085 => x"
5372800c"
,
1149 1086 => x"
8a3d0d04"
,
1150 1087 => x"
7281b4bc"
,
1151 1088 => x"
34827525"
,
1152 1089 => x"ffa238ff"
,
1153 1090 => x"bd39ef3d"
,
1154 1091 => x"
0d636567"
,
1155 1092 => x"
5b427943"
,
1156 1093 => x"
67695940"
,
1157 1094 => x"
77415a80"
,
1158 1095 => x"
5d805e61"
,
1159 1096 => x"
7083ffff"
,
1160 1097 => x"
0671902a"
,
1161 1098 => x"
627083ff"
,
1162 1099 => x"ff067190"
,
1163 1100 => x"
2a747229"
,
1164 1101 => x"
74732975"
,
1165 1102 => x"
73297774"
,
1166 1103 => x"
2973902a"
,
1167 1104 => x"
05721151"
,
1168 1105 => x"
5856535f"
,
1169 1106 => x"
5a575a58"
,
1170 1107 => x"
55587373"
,
1171 1108 => x"
27863884"
,
1172 1109 => x"
80801656"
,
1173 1110 => x"
73902a16"
,
1174 1111 => x"
5b7883ff"
,
1175 1112 => x"ff067484"
,
1176 1113 => x"
80802905"
,
1177 1114 => x"
5c7a7c5a"
,
1178 1115 => x"
5d785e77"
,
1179 1116 => x"
7f296178"
,
1180 1117 => x"
29057d05"
,
1181 1118 => x"
5d7c7e56"
,
1182 1119 => x"
7a0c7484"
,
1183 1120 => x"
1b0c7980"
,
1184 1121 => x"
0c933d0d"
,
1185 1122 => x"
04f93d0d"
,
1186 1123 => x"
797b7d54"
,
1187 1124 => x"
58725977"
,
1188 1125 => x"
30797030"
,
1189 1126 => x"
7072079f"
,
1190 1127 => x"
2a737131"
,
1191 1128 => x"
5a525977"
,
1192 1129 => x"
7956730c"
,
1193 1130 => x"
53738413"
,
1194 1131 => x"
0c54800c"
,
1195 1132 => x"
893d0d04"
,
1196 1133 => x"f93d0d79"
,
1197 1134 => x"
7b7d7f56"
,
1198 1135 => x"
54525472"
,
1199 1136 => x"
802ea038"
,
1200 1137 => x"
70577158"
,
1201 1138 => x"a0733152"
,
1202 1139 => x"
807225a1"
,
1203 1140 => x"
38777074"
,
1204 1141 => x"
2b577073"
,
1205 1142 => x"
2a78752b"
,
1206 1143 => x"
07565174"
,
1207 1144 => x"
76535170"
,
1208 1145 => x"
740c7184"
,
1209 1146 => x"
150c7380"
,
1210 1147 => x"
0c893d0d"
,
1211 1148 => x"
04805677"
,
1212 1149 => x"
72302b55"
,
1213 1150 => x"
74765351"
,
1214 1151 => x"e639e43d"
,
1215 1152 => x"
0d6ea13d"
,
1216 1153 => x"
08a33d08"
,
1217 1154 => x"
59575f80"
,
1218 1155 => x"
764d774e"
,
1219 1156 => x"a33d08a5"
,
1220 1157 => x"
3d08574b"
,
1221 1158 => x"
754c5e7d"
,
1222 1159 => x"
6c2486fb"
,
1223 1160 => x"
38806a24"
,
1224 1161 => x"
878f3869"
,
1225 1162 => x"
6b58566b"
,
1226 1163 => x"
6d5d467b"
,
1227 1164 => x"
47754476"
,
1228 1165 => x"
45646468"
,
1229 1166 => x"
685c5c56"
,
1230 1167 => x"
567481e7"
,
1231 1168 => x"
38787627"
,
1232 1169 => x"
82c73875"
,
1233 1170 => x"
81ff2683"
,
1234 1171 => x"
2b5583ff"
,
1235 1172 => x"ff76278c"
,
1236 1173 => x"
389055fe"
,
1237 1174 => x"
800a7627"
,
1238 1175 => x"
83389855"
,
1239 1176 => x"
75752a80"
,
1240 1177 => x"d3d00570"
,
1241 1178 => x"
33a07731"
,
1242 1179 => x"
71315755"
,
1243 1180 => x"
5774802e"
,
1244 1181 => x"
95387575"
,
1245 1182 => x"
2ba07631"
,
1246 1183 => x"
7a772b7c"
,
1247 1184 => x"
722a077c"
,
1248 1185 => x"
782b5d5b"
,
1249 1186 => x"
59567590"
,
1250 1187 => x"
2a7683ff"
,
1251 1188 => x"ff067154"
,
1252 1189 => x"
7a535957"
,
1253 1190 => x"
88803f80"
,
1254 1191 => x"
085b87ea"
,
1255 1192 => x"
3f800880"
,
1256 1193 => x"
0879297c"
,
1257 1194 => x"
902b7c90"
,
1258 1195 => x"
2a075656"
,
1259 1196 => x"
59737527"
,
1260 1197 => x"
94388008"
,
1261 1198 => x"ff057615"
,
1262 1199 => x"
55597574"
,
1263 1200 => x"
26873874"
,
1264 1201 => x"
742687b9"
,
1265 1202 => x"
38765273"
,
1266 1203 => x"
75315187"
,
1267 1204 => x"c93f8008"
,
1268 1205 => x"
5587b33f"
,
1269 1206 => x"
80088008"
,
1270 1207 => x"
79297b83"
,
1271 1208 => x"ffff0677"
,
1272 1209 => x"
902b0756"
,
1273 1210 => x"
59577378"
,
1274 1211 => x"
27963880"
,
1275 1212 => x"
08ff0576"
,
1276 1213 => x"
15555775"
,
1277 1214 => x"
74268938"
,
1278 1215 => x"
77742677"
,
1279 1216 => x"
71315856"
,
1280 1217 => x"
78902b77"
,
1281 1218 => x"
0758805b"
,
1282 1219 => x"
7a407741"
,
1283 1220 => x"
7f615654"
,
1284 1221 => x"
7d80d938"
,
1285 1222 => x"
737f0c74"
,
1286 1223 => x"
7f84050c"
,
1287 1224 => x"
7e800c9e"
,
1288 1225 => x"
3d0d0480"
,
1289 1226 => x"
705c5874"
,
1290 1227 => x"
7926dd38"
,
1291 1228 => x"
7481ff26"
,
1292 1229 => x"
832b5774"
,
1293 1230 => x"
83ffff26"
,
1294 1231 => x"
82a53874"
,
1295 1232 => x"
772a80d3"
,
1296 1233 => x"d0057033"
,
1297 1234 => x"a0793171"
,
1298 1235 => x"
31595c5d"
,
1299 1236 => x"
7682b338"
,
1300 1237 => x"
76547479"
,
1301 1238 => x"
27833881"
,
1302 1239 => x"
54797627"
,
1303 1240 => x"
74075981"
,
1304 1241 => x"
5878ffa2"
,
1305 1242 => x"
38765880"
,
1306 1243 => x"
5bff9d39"
,
1307 1244 => x"
73527453"
,
1308 1245 => x"
9e3de805"
,
1309 1246 => x"
51fc8e3f"
,
1310 1247 => x"
6769567f"
,
1311 1248 => x"
0c747f84"
,
1312 1249 => x"
050c7e80"
,
1313 1250 => x"
0c9e3d0d"
,
1314 1251 => x"
0475802e"
,
1315 1252 => x"
81c43875"
,
1316 1253 => x"
81ff2683"
,
1317 1254 => x"
2b5583ff"
,
1318 1255 => x"ff76278c"
,
1319 1256 => x"
389055fe"
,
1320 1257 => x"
800a7627"
,
1321 1258 => x"
83389855"
,
1322 1259 => x"
75752a80"
,
1323 1260 => x"d3d00570"
,
1324 1261 => x"
33a07731"
,
1325 1262 => x"
7131575e"
,
1326 1263 => x"
54748491"
,
1327 1264 => x"
38787631"
,
1328 1265 => x"
54817690"
,
1329 1266 => x"
2a7783ff"
,
1330 1267 => x"ff065f5d"
,
1331 1268 => x"
5b7b5273"
,
1332 1269 => x"
5185c33f"
,
1333 1270 => x"
80085785"
,
1334 1271 => x"ad3f8008"
,
1335 1272 => x"
80087e29"
,
1336 1273 => x"
78902b7c"
,
1337 1274 => x"
902a0756"
,
1338 1275 => x"
56597375"
,
1339 1276 => x"
27943880"
,
1340 1277 => x"
08ff0576"
,
1341 1278 => x"
15555975"
,
1342 1279 => x"
74268738"
,
1343 1280 => x"
74742684"
,
1344 1281 => x"f3387b52"
,
1345 1282 => x"
73753151"
,
1346 1283 => x"
858c3f80"
,
1347 1284 => x"
085584f6"
,
1348 1285 => x"
3f800880"
,
1349 1286 => x"
087e297b"
,
1350 1287 => x"
83ffff06"
,
1351 1288 => x"
77902b07"
,
1352 1289 => x"
56595773"
,
1353 1290 => x"
78279638"
,
1354 1291 => x"
8008ff05"
,
1355 1292 => x"
76155557"
,
1356 1293 => x"
75742689"
,
1357 1294 => x"
38777426"
,
1358 1295 => x"
77713158"
,
1359 1296 => x"
5a78902b"
,
1360 1297 => x"
77077b41"
,
1361 1298 => x"
417f6156"
,
1362 1299 => x"
547d802e"
,
1363 1300 => x"fdc638fe"
,
1364 1301 => x"
9b397552"
,
1365 1302 => x"
815184ae"
,
1366 1303 => x"
3f800856"
,
1367 1304 => x"feb13990"
,
1368 1305 => x"
57fe800a"
,
1369 1306 => x"
7527fdd3"
,
1370 1307 => x"
38987571"
,
1371 1308 => x"
2a80d3d0"
,
1372 1309 => x"
057033a0"
,
1373 1310 => x"
73317131"
,
1374 1311 => x"
535d5e57"
,
1375 1312 => x"
76802efd"
,
1376 1313 => x"cf38a077"
,
1377 1314 => x"
3175782b"
,
1378 1315 => x"
77722a07"
,
1379 1316 => x"
77792b7b"
,
1380 1317 => x"
7a2b7d74"
,
1381 1318 => x"
2a077d7b"
,
1382 1319 => x"
2b73902a"
,
1383 1320 => x"
7483ffff"
,
1384 1321 => x"
0671597f"
,
1385 1322 => x"
772a585e"
,
1386 1323 => x"
5c415f58"
,
1387 1324 => x"
5c5483e6"
,
1388 1325 => x"
3f800854"
,
1389 1326 => x"
83d03f80"
,
1390 1327 => x"
08800879"
,
1391 1328 => x"
2975902b"
,
1392 1329 => x"
7e902a07"
,
1393 1330 => x"
56565973"
,
1394 1331 => x"
75279938"
,
1395 1332 => x"
8008ff05"
,
1396 1333 => x"
7b155559"
,
1397 1334 => x"
7a74268c"
,
1398 1335 => x"
38737527"
,
1399 1336 => x"
8738ff19"
,
1400 1337 => x"
7b155559"
,
1401 1338 => x"
76527375"
,
1402 1339 => x"
315183aa"
,
1403 1340 => x"
3f800855"
,
1404 1341 => x"
83943f80"
,
1405 1342 => x"
08800879"
,
1406 1343 => x"
297d83ff"
,
1407 1344 => x"ff067790"
,
1408 1345 => x"
2b075659"
,
1409 1346 => x"
57737827"
,
1410 1347 => x"
99388008"
,
1411 1348 => x"ff057b15"
,
1412 1349 => x"
55577a74"
,
1413 1350 => x"
268c3873"
,
1414 1351 => x"
78278738"
,
1415 1352 => x"ff177b15"
,
1416 1353 => x"
55577378"
,
1417 1354 => x"
3179902b"
,
1418 1355 => x"
78077083"
,
1419 1356 => x"ffff0671"
,
1420 1357 => x"
902a7983"
,
1421 1358 => x"ffff067a"
,
1422 1359 => x"
902a7372"
,
1423 1360 => x"
29737329"
,
1424 1361 => x"
74732976"
,
1425 1362 => x"
74297390"
,
1426 1363 => x"
2a057205"
,
1427 1364 => x"
5755435f"
,
1428 1365 => x"
5b585a57"
,
1429 1366 => x"
595a747c"
,
1430 1367 => x"
27863884"
,
1431 1368 => x"
80801757"
,
1432 1369 => x"
74902a17"
,
1433 1370 => x"
7983ffff"
,
1434 1371 => x"
06768480"
,
1435 1372 => x"
80290557"
,
1436 1373 => x"
57767a26"
,
1437 1374 => x"
9a38767a"
,
1438 1375 => x"
32703070"
,
1439 1376 => x"
72078025"
,
1440 1377 => x"
565a5b7c"
,
1441 1378 => x"
7627fafe"
,
1442 1379 => x"
3873802e"
,
1443 1380 => x"faf838ff"
,
1444 1381 => x"
1858805b"
,
1445 1382 => x"faf239ff"
,
1446 1383 => x"
76537754"
,
1447 1384 => x"
9f3de805"
,
1448 1385 => x"
525ef7e1"
,
1449 1386 => x"
3f676957"
,
1450 1387 => x"
4c754d69"
,
1451 1388 => x"
8025f8f3"
,
1452 1389 => x"
387d096a"
,
1453 1390 => x"
6c5c537a"
,
1454 1391 => x"
549f3de8"
,
1455 1392 => x"
05525ef7"
,
1456 1393 => x"c43f6769"
,
1457 1394 => x"
714c704d"
,
1458 1395 => x"
5856f8db"
,
1459 1396 => x"
39a07531"
,
1460 1397 => x"
76762b7a"
,
1461 1398 => x"
772b7c73"
,
1462 1399 => x"
2a077c78"
,
1463 1400 => x"
2b72902a"
,
1464 1401 => x"
7383ffff"
,
1465 1402 => x"
0671587e"
,
1466 1403 => x"
762a5742"
,
1467 1404 => x"
405d5d57"
,
1468 1405 => x"
5881a33f"
,
1469 1406 => x"
80085781"
,
1470 1407 => x"
8d3f8008"
,
1471 1408 => x"
80087e29"
,
1472 1409 => x"
78902b7d"
,
1473 1410 => x"
902a0756"
,
1474 1411 => x"
56597375"
,
1475 1412 => x"
27993880"
,
1476 1413 => x"
08ff0576"
,
1477 1414 => x"
15555975"
,
1478 1415 => x"
74268c38"
,
1479 1416 => x"
73752787"
,
1480 1417 => x"
38ff1976"
,
1481 1418 => x"
1555597b"
,
1482 1419 => x"
52737531"
,
1483 1420 => x"
5180e73f"
,
1484 1421 => x"
80085580"
,
1485 1422 => x"d13f8008"
,
1486 1423 => x"
80087e29"
,
1487 1424 => x"
7c83ffff"
,
1488 1425 => x"
06707890"
,
1489 1426 => x"
2b075156"
,
1490 1427 => x"
58587377"
,
1491 1428 => x"
27993880"
,
1492 1429 => x"
08ff0576"
,
1493 1430 => x"
15555875"
,
1494 1431 => x"
74268c38"
,
1495 1432 => x"
73772787"
,
1496 1433 => x"
38ff1876"
,
1497 1434 => x"
15555878"
,
1498 1435 => x"
902b7807"
,
1499 1436 => x"
74783155"
,
1500 1437 => x"
5bfada39"
,
1501 1438 => x"ff197615"
,
1502 1439 => x"
5559fb86"
,
1503 1440 => x"
39ff1976"
,
1504 1441 => x"
155559f8"
,
1505 1442 => x"c0397070"
,
1506 1443 => x"
70805375"
,
1507 1444 => x"
52745181"
,
1508 1445 => x"
913f5050"
,
1509 1446 => x"
50047070"
,
1510 1447 => x"
70815375"
,
1511 1448 => x"
52745181"
,
1512 1449 => x"
813f5050"
,
1513 1450 => x"
5004fb3d"
,
1514 1451 => x"
0d777955"
,
1515 1452 => x"
55805675"
,
1516 1453 => x"
7524ab38"
,
1517 1454 => x"
8074249d"
,
1518 1455 => x"
38805373"
,
1519 1456 => x"
52745180"
,
1520 1457 => x"e13f8008"
,
1521 1458 => x"
5475802e"
,
1522 1459 => x"
85388008"
,
1523 1460 => x"
30547380"
,
1524 1461 => x"
0c873d0d"
,
1525 1462 => x"
04733076"
,
1526 1463 => x"
81325754"
,
1527 1464 => x"dc397430"
,
1528 1465 => x"
55815673"
,
1529 1466 => x"
8025d238"
,
1530 1467 => x"ec39fa3d"
,
1531 1468 => x"
0d787a57"
,
1532 1469 => x"
55805776"
,
1533 1470 => x"
7524a438"
,
1534 1471 => x"
759f2c54"
,
1535 1472 => x"
81537574"
,
1536 1473 => x"
32743152"
,
1537 1474 => x"
74519b3f"
,
1538 1475 => x"
80085476"
,
1539 1476 => x"
802e8538"
,
1540 1477 => x"
80083054"
,
1541 1478 => x"
73800c88"
,
1542 1479 => x"
3d0d0474"
,
1543 1480 => x"
30558157"
,
1544 1481 => x"d739fc3d"
,
1545 1482 => x"
0d767853"
,
1546 1483 => x"
54815380"
,
1547 1484 => x"
74732652"
,
1548 1485 => x"
5572802e"
,
1549 1486 => x"
98387080"
,
1550 1487 => x"
2eab3880"
,
1551 1488 => x"
7224a638"
,
1552 1489 => x"
71107310"
,
1553 1490 => x"
75722653"
,
1554 1491 => x"
545272ea"
,
1555 1492 => x"
38735178"
,
1556 1493 => x"
83387451"
,
1557 1494 => x"
70800c86"
,
1558 1495 => x"
3d0d0472"
,
1559 1496 => x"
0a100a72"
,
1560 1497 => x"
0a100a53"
,
1561 1498 => x"
5372802e"
,
1562 1499 => x"e4387174"
,
1563 1500 => x"
26ed3873"
,
1564 1501 => x"
72317574"
,
1565 1502 => x"
07740a10"
,
1566 1503 => x"
0a740a10"
,
1567 1504 => x"
0a555556"
,
1568 1505 => x"
54e33970"
,
1569 1506 => x"
70735280"
,
1570 1507 => x"decc0851"
,
1571 1508 => x"
933f5050"
,
1572 1509 => x"
04707073"
,
1573 1510 => x"
5280decc"
,
1574 1511 => x"
085190ce"
,
1575 1512 => x"
3f505004"
,
1576 1513 => x"f43d0d7e"
,
1577 1514 => x"
608b1170"
,
1578 1515 => x"f8065b55"
,
1579 1516 => x"
555d7296"
,
1580 1517 => x"
26833890"
,
1581 1518 => x"
58807824"
,
1582 1519 => x"
74792607"
,
1583 1520 => x"
55805474"
,
1584 1521 => x"
742e0981"
,
1585 1522 => x"
0680ca38"
,
1586 1523 => x"
7c518d9e"
,
1587 1524 => x"
3f7783f7"
,
1588 1525 => x"
2680c538"
,
1589 1526 => x"
77832a70"
,
1590 1527 => x"
10101080"
,
1591 1528 => x"d6c4058c"
,
1592 1529 => x"
11085858"
,
1593 1530 => x"
5475772e"
,
1594 1531 => x"
81f03884"
,
1595 1532 => x"
1608fc06"
,
1596 1533 => x"
8c170888"
,
1597 1534 => x"
1808718c"
,
1598 1535 => x"
120c8812"
,
1599 1536 => x"
0c5b7605"
,
1600 1537 => x"
84110881"
,
1601 1538 => x"
0784120c"
,
1602 1539 => x"
537c518c"
,
1603 1540 => x"de3f8816"
,
1604 1541 => x"
5473800c"
,
1605 1542 => x"
8e3d0d04"
,
1606 1543 => x"
77892a78"
,
1607 1544 => x"
832a5854"
,
1608 1545 => x"
73802ebf"
,
1609 1546 => x"
3877862a"
,
1610 1547 => x"b8055784"
,
1611 1548 => x"
7427b438"
,
1612 1549 => x"
80db1457"
,
1613 1550 => x"
947427ab"
,
1614 1551 => x"
38778c2a"
,
1615 1552 => x"
80ee0557"
,
1616 1553 => x"
80d47427"
,
1617 1554 => x"
9e38778f"
,
1618 1555 => x"
2a80f705"
,
1619 1556 => x"
5782d474"
,
1620 1557 => x"
27913877"
,
1621 1558 => x"
922a80fc"
,
1622 1559 => x"
05578ad4"
,
1623 1560 => x"
74278438"
,
1624 1561 => x"
80fe5776"
,
1625 1562 => x"
10101080"
,
1626 1563 => x"d6c4058c"
,
1627 1564 => x"
11085653"
,
1628 1565 => x"
74732ea3"
,
1629 1566 => x"
38841508"
,
1630 1567 => x"fc067079"
,
1631 1568 => x"
31555673"
,
1632 1569 => x"
8f2488e4"
,
1633 1570 => x"
38738025"
,
1634 1571 => x"
88e6388c"
,
1635 1572 => x"
15085574"
,
1636 1573 => x"
732e0981"
,
1637 1574 => x"
06df3881"
,
1638 1575 => x"
175980d6"
,
1639 1576 => x"d4085675"
,
1640 1577 => x"
80d6cc2e"
,
1641 1578 => x"
82cc3884"
,
1642 1579 => x"
1608fc06"
,
1643 1580 => x"
70793155"
,
1644 1581 => x"
55738f24"
,
1645 1582 => x"bb3880d6"
,
1646 1583 => x"cc0b80d6"
,
1647 1584 => x"d80c80d6"
,
1648 1585 => x"cc0b80d6"
,
1649 1586 => x"d40c8074"
,
1650 1587 => x"
2480db38"
,
1651 1588 => x"
74168411"
,
1652 1589 => x"
08810784"
,
1653 1590 => x"
120c53fe"
,
1654 1591 => x"b0398816"
,
1655 1592 => x"
8c110857"
,
1656 1593 => x"
5975792e"
,
1657 1594 => x"
098106fe"
,
1658 1595 => x"
82388214"
,
1659 1596 => x"
59ffab39"
,
1660 1597 => x"
77167881"
,
1661 1598 => x"
0784180c"
,
1662 1599 => x"
7080d6d8"
,
1663 1600 => x"
0c7080d6"
,
1664 1601 => x"d40c80d6"
,
1665 1602 => x"cc0b8c12"
,
1666 1603 => x"
0c8c1108"
,
1667 1604 => x"
88120c74"
,
1668 1605 => x"
81078412"
,
1669 1606 => x"
0c740574"
,
1670 1607 => x"
710c5b7c"
,
1671 1608 => x"
518acc3f"
,
1672 1609 => x"
881654fd"
,
1673 1610 => x"ec3983ff"
,
1674 1611 => x"
75278391"
,
1675 1612 => x"
3874892a"
,
1676 1613 => x"
75832a54"
,
1677 1614 => x"
5473802e"
,
1678 1615 => x"bf387486"
,
1679 1616 => x"
2ab80553"
,
1680 1617 => x"
847427b4"
,
1681 1618 => x"
3880db14"
,
1682 1619 => x"
53947427"
,
1683 1620 => x"ab38748c"
,
1684 1621 => x"
2a80ee05"
,
1685 1622 => x"
5380d474"
,
1686 1623 => x"
279e3874"
,
1687 1624 => x"
8f2a80f7"
,
1688 1625 => x"
055382d4"
,
1689 1626 => x"
74279138"
,
1690 1627 => x"
74922a80"
,
1691 1628 => x"fc05538a"
,
1692 1629 => x"d4742784"
,
1693 1630 => x"
3880fe53"
,
1694 1631 => x"
72101010"
,
1695 1632 => x"
80d6c405"
,
1696 1633 => x"
88110855"
,
1697 1634 => x"
5773772e"
,
1698 1635 => x"
868b3884"
,
1699 1636 => x"
1408fc06"
,
1700 1637 => x"
5b747b27"
,
1701 1638 => x"
8d388814"
,
1702 1639 => x"
08547377"
,
1703 1640 => x"
2e098106"
,
1704 1641 => x"ea388c14"
,
1705 1642 => x"
0880d6c4"
,
1706 1643 => x"
0b840508"
,
1707 1644 => x"
718c190c"
,
1708 1645 => x"
7588190c"
,
1709 1646 => x"
7788130c"
,
1710 1647 => x"
5c57758c"
,
1711 1648 => x"
150c7853"
,
1712 1649 => x"
80792483"
,
1713 1650 => x"
98387282"
,
1714 1651 => x"
2c81712b"
,
1715 1652 => x"
5656747b"
,
1716 1653 => x"
2680ca38"
,
1717 1654 => x"
7a750657"
,
1718 1655 => x"
7682a338"
,
1719 1656 => x"
78fc0684"
,
1720 1657 => x"
05597410"
,
1721 1658 => x"
707c0655"
,
1722 1659 => x"
55738292"
,
1723 1660 => x"
38841959"
,
1724 1661 => x"f13980d6"
,
1725 1662 => x"c40b8405"
,
1726 1663 => x"
0879545b"
,
1727 1664 => x"
788025c6"
,
1728 1665 => x"
3882da39"
,
1729 1666 => x"
74097b06"
,
1730 1667 => x"
7080d6c4"
,
1731 1668 => x"
0b84050c"
,
1732 1669 => x"
5b741055"
,
1733 1670 => x"
747b2685"
,
1734 1671 => x"
387485bc"
,
1735 1672 => x"
3880d6c4"
,
1736 1673 => x"
0b880508"
,
1737 1674 => x"
70841208"
,
1738 1675 => x"fc06707b"
,
1739 1676 => x"
317b7226"
,
1740 1677 => x"
8f722507"
,
1741 1678 => x"
5d575c5c"
,
1742 1679 => x"
5578802e"
,
1743 1680 => x"
80d93879"
,
1744 1681 => x"
1580d6bc"
,
1745 1682 => x"
08199011"
,
1746 1683 => x"
59545680"
,
1747 1684 => x"d6b808ff"
,
1748 1685 => x"
2e8838a0"
,
1749 1686 => x"
8f13e080"
,
1750 1687 => x"
06577652"
,
1751 1688 => x"
7c51888c"
,
1752 1689 => x"
3f800854"
,
1753 1690 => x"
8008ff2e"
,
1754 1691 => x"
90388008"
,
1755 1692 => x"
762782a7"
,
1756 1693 => x"
387480d6"
,
1757 1694 => x"c42e829f"
,
1758 1695 => x"
3880d6c4"
,
1759 1696 => x"
0b880508"
,
1760 1697 => x"
55841508"
,
1761 1698 => x"fc067079"
,
1762 1699 => x"
31797226"
,
1763 1700 => x"
8f722507"
,
1764 1701 => x"
5d555a7a"
,
1765 1702 => x"
83f23877"
,
1766 1703 => x"
81078416"
,
1767 1704 => x"
0c771570"
,
1768 1705 => x"
80d6c40b"
,
1769 1706 => x"
88050c74"
,
1770 1707 => x"
81078412"
,
1771 1708 => x"
0c567c51"
,
1772 1709 => x"
87b93f88"
,
1773 1710 => x"
15547380"
,
1774 1711 => x"
0c8e3d0d"
,
1775 1712 => x"
0474832a"
,
1776 1713 => x"
70545480"
,
1777 1714 => x"
7424819b"
,
1778 1715 => x"
3872822c"
,
1779 1716 => x"
81712b80"
,
1780 1717 => x"d6c80807"
,
1781 1718 => x"
7080d6c4"
,
1782 1719 => x"
0b84050c"
,
1783 1720 => x"
75101010"
,
1784 1721 => x"
80d6c405"
,
1785 1722 => x"
88110871"
,
1786 1723 => x"
8c1b0c70"
,
1787 1724 => x"
881b0c79"
,
1788 1725 => x"
88130c57"
,
1789 1726 => x"
555c5575"
,
1790 1727 => x"
8c150cfd"
,
1791 1728 => x"c1397879"
,
1792 1729 => x"
10101080"
,
1793 1730 => x"d6c40570"
,
1794 1731 => x"
565b5c8c"
,
1795 1732 => x"
14085675"
,
1796 1733 => x"
742ea338"
,
1797 1734 => x"
841608fc"
,
1798 1735 => x"
06707931"
,
1799 1736 => x"
5853768f"
,
1800 1737 => x"
2483f138"
,
1801 1738 => x"
76802584"
,
1802 1739 => x"af388c16"
,
1803 1740 => x"
08567574"
,
1804 1741 => x"
2e098106"
,
1805 1742 => x"df388814"
,
1806 1743 => x"
811a7083"
,
1807 1744 => x"
06555a54"
,
1808 1745 => x"
72c9387b"
,
1809 1746 => x"
83065675"
,
1810 1747 => x"
802efdb8"
,
1811 1748 => x"
38ff1cf8"
,
1812 1749 => x"
1b5b5c88"
,
1813 1750 => x"
1a087a2e"
,
1814 1751 => x"ea38fdb5"
,
1815 1752 => x"
39831953"
,
1816 1753 => x"fce43983"
,
1817 1754 => x"
1470822c"
,
1818 1755 => x"
81712b80"
,
1819 1756 => x"d6c80807"
,
1820 1757 => x"
7080d6c4"
,
1821 1758 => x"
0b84050c"
,
1822 1759 => x"
76101010"
,
1823 1760 => x"
80d6c405"
,
1824 1761 => x"
88110871"
,
1825 1762 => x"
8c1c0c70"
,
1826 1763 => x"
881c0c7a"
,
1827 1764 => x"
88130c58"
,
1828 1765 => x"
535d5653"
,
1829 1766 => x"fee13980"
,
1830 1767 => x"d6880817"
,
1831 1768 => x"
59800876"
,
1832 1769 => x"
2e818b38"
,
1833 1770 => x"
80d6b808"
,
1834 1771 => x"ff2e848e"
,
1835 1772 => x"
38737631"
,
1836 1773 => x"
1980d688"
,
1837 1774 => x"
0c738706"
,
1838 1775 => x"
70565372"
,
1839 1776 => x"
802e8838"
,
1840 1777 => x"
88733170"
,
1841 1778 => x"
15555576"
,
1842 1779 => x"
149fff06"
,
1843 1780 => x"a0807131"
,
1844 1781 => x"
1670547e"
,
1845 1782 => x"
53515385"
,
1846 1783 => x"
933f8008"
,
1847 1784 => x"
568008ff"
,
1848 1785 => x"
2e819e38"
,
1849 1786 => x"
80d68808"
,
1850 1787 => x"
137080d6"
,
1851 1788 => x"
880c7475"
,
1852 1789 => x"
80d6c40b"
,
1853 1790 => x"
88050c77"
,
1854 1791 => x"
76311581"
,
1855 1792 => x"
07555659"
,
1856 1793 => x"
7a80d6c4"
,
1857 1794 => x"
2e83c038"
,
1858 1795 => x"
798f2682"
,
1859 1796 => x"ef38810b"
,
1860 1797 => x"
84150c84"
,
1861 1798 => x"
1508fc06"
,
1862 1799 => x"
70793179"
,
1863 1800 => x"
72268f72"
,
1864 1801 => x"
25075d55"
,
1865 1802 => x"
5a7a802e"
,
1866 1803 => x"fced3880"
,
1867 1804 => x"db398008"
,
1868 1805 => x"
9fff0655"
,
1869 1806 => x"
74feed38"
,
1870 1807 => x"
7880d688"
,
1871 1808 => x"
0c80d6c4"
,
1872 1809 => x"
0b880508"
,
1873 1810 => x"
7a188107"
,
1874 1811 => x"
84120c55"
,
1875 1812 => x"
80d6b408"
,
1876 1813 => x"
79278638"
,
1877 1814 => x"
7880d6b4"
,
1878 1815 => x"
0c80d6b0"
,
1879 1816 => x"
087927fc"
,
1880 1817 => x"a0387880"
,
1881 1818 => x"d6b00c84"
,
1882 1819 => x"
1508fc06"
,
1883 1820 => x"
70793179"
,
1884 1821 => x"
72268f72"
,
1885 1822 => x"
25075d55"
,
1886 1823 => x"
5a7a802e"
,
1887 1824 => x"fc993888"
,
1888 1825 => x"
39807457"
,
1889 1826 => x"
53fedd39"
,
1890 1827 => x"
7c5183df"
,
1891 1828 => x"
3f800b80"
,
1892 1829 => x"
0c8e3d0d"
,
1893 1830 => x"
04807324"
,
1894 1831 => x"a5387282"
,
1895 1832 => x"
2c81712b"
,
1896 1833 => x"
80d6c808"
,
1897 1834 => x"
077080d6"
,
1898 1835 => x"c40b8405"
,
1899 1836 => x"
0c5c5a76"
,
1900 1837 => x"
8c170c73"
,
1901 1838 => x"
88170c75"
,
1902 1839 => x"
88180cf9"
,
1903 1840 => x"fd398313"
,
1904 1841 => x"
70822c81"
,
1905 1842 => x"
712b80d6"
,
1906 1843 => x"c8080770"
,
1907 1844 => x"
80d6c40b"
,
1908 1845 => x"
84050c5d"
,
1909 1846 => x"
5b53d839"
,
1910 1847 => x"
7a75065c"
,
1911 1848 => x"
7bfc9f38"
,
1912 1849 => x"
84197510"
,
1913 1850 => x"
5659f139"
,
1914 1851 => x"ff178105"
,
1915 1852 => x"
59f7ab39"
,
1916 1853 => x"
8c150888"
,
1917 1854 => x"
1608718c"
,
1918 1855 => x"
120c8812"
,
1919 1856 => x"
0c597515"
,
1920 1857 => x"
84110881"
,
1921 1858 => x"
0784120c"
,
1922 1859 => x"
587c5182"
,
1923 1860 => x"de3f8815"
,
1924 1861 => x"
54fba339"
,
1925 1862 => x"
77167881"
,
1926 1863 => x"
0784180c"
,
1927 1864 => x"
8c170888"
,
1928 1865 => x"
1808718c"
,
1929 1866 => x"
120c8812"
,
1930 1867 => x"
0c5c7080"
,
1931 1868 => x"d6d80c70"
,
1932 1869 => x"
80d6d40c"
,
1933 1870 => x"
80d6cc0b"
,
1934 1871 => x"
8c120c8c"
,
1935 1872 => x"
11088812"
,
1936 1873 => x"
0c778107"
,
1937 1874 => x"
84120c77"
,
1938 1875 => x"
0577710c"
,
1939 1876 => x"
557c5182"
,
1940 1877 => x"
9a3f8816"
,
1941 1878 => x"
54f5ba39"
,
1942 1879 => x"
72168411"
,
1943 1880 => x"
08810784"
,
1944 1881 => x"
120c588c"
,
1945 1882 => x"
16088817"
,
1946 1883 => x"
08718c12"
,
1947 1884 => x"
0c88120c"
,
1948 1885 => x"
577c5181"
,
1949 1886 => x"f63f8816"
,
1950 1887 => x"
54f59639"
,
1951 1888 => x"
7284150c"
,
1952 1889 => x"f41af806"
,
1953 1890 => x"
70841d08"
,
1954 1891 => x"
81060784"
,
1955 1892 => x"
1d0c701c"
,
1956 1893 => x"
5556850b"
,
1957 1894 => x"
84150c85"
,
1958 1895 => x"
0b88150c"
,
1959 1896 => x"
8f7627fd"
,
1960 1897 => x"ab38881b"
,
1961 1898 => x"
527c5184"
,
1962 1899 => x"c13f80d6"
,
1963 1900 => x"c40b8805"
,
1964 1901 => x"
0880d688"
,
1965 1902 => x"
085a55fd"
,
1966 1903 => x"
93397880"
,
1967 1904 => x"d6880c73"
,
1968 1905 => x"
80d6b80c"
,
1969 1906 => x"fbef3972"
,
1970 1907 => x"
84150cfc"
,
1971 1908 => x"ff39fb3d"
,
1972 1909 => x"
0d77707a"
,
1973 1910 => x"
7c585553"
,
1974 1911 => x"
568f7527"
,
1975 1912 => x"
80e63872"
,
1976 1913 => x"
76078306"
,
1977 1914 => x"
517080dc"
,
1978 1915 => x"
38757352"
,
1979 1916 => x"
54707084"
,
1980 1917 => x"
05520874"
,
1981 1918 => x"
70840556"
,
1982 1919 => x"
0c737170"
,
1983 1920 => x"
84055308"
,
1984 1921 => x"
71708405"
,
1985 1922 => x"
530c7170"
,
1986 1923 => x"
84055308"
,
1987 1924 => x"
71708405"
,
1988 1925 => x"
530c7170"
,
1989 1926 => x"
84055308"
,
1990 1927 => x"
71708405"
,
1991 1928 => x"
530cf016"
,
1992 1929 => x"
5654748f"
,
1993 1930 => x"
26c73883"
,
1994 1931 => x"
75279538"
,
1995 1932 => x"
70708405"
,
1996 1933 => x"
52087470"
,
1997 1934 => x"
8405560c"
,
1998 1935 => x"fc155574"
,
1999 1936 => x"
8326ed38"
,
2000 1937 => x"
73715452"
,
2001 1938 => x"ff155170"
,
2002 1939 => x"ff2e9838"
,
2003 1940 => x"
72708105"
,
2004 1941 => x"
54337270"
,
2005 1942 => x"
81055434"
,
2006 1943 => x"ff115170"
,
2007 1944 => x"ff2e0981"
,
2008 1945 => x"
06ea3875"
,
2009 1946 => x"
800c873d"
,
2010 1947 => x"
0d040404"
,
2011 1948 => x"
70707070"
,
2012 1949 => x"
800b81b6"
,
2013 1950 => x"
9c0c7651"
,
2014 1951 => x"
87cc3f80"
,
2015 1952 => x"
08538008"
,
2016 1953 => x"ff2e8938"
,
2017 1954 => x"
72800c50"
,
2018 1955 => x"
50505004"
,
2019 1956 => x"
81b69c08"
,
2020 1957 => x"
5473802e"
,
2021 1958 => x"ef387574"
,
2022 1959 => x"
710c5272"
,
2023 1960 => x"
800c5050"
,
2024 1961 => x"
505004fb"
,
2025 1962 => x"
3d0d7779"
,
2026 1963 => x"
70720783"
,
2027 1964 => x"
06535452"
,
2028 1965 => x"
70933871"
,
2029 1966 => x"
73730854"
,
2030 1967 => x"
56547173"
,
2031 1968 => x"
082e80c4"
,
2032 1969 => x"
38737554"
,
2033 1970 => x"
52713370"
,
2034 1971 => x"
81ff0652"
,
2035 1972 => x"
5470802e"
,
2036 1973 => x"
9d387233"
,
2037 1974 => x"
5570752e"
,
2038 1975 => x"
09810695"
,
2039 1976 => x"
38811281"
,
2040 1977 => x"
14713370"
,
2041 1978 => x"
81ff0654"
,
2042 1979 => x"
56545270"
,
2043 1980 => x"e5387233"
,
2044 1981 => x"
557381ff"
,
2045 1982 => x"
067581ff"
,
2046 1983 => x"
06717131"
,
2047 1984 => x"
800c5552"
,
2048 1985 => x"
873d0d04"
,
2049 1986 => x"
7109f7fb"
,
2050 1987 => x"fdff1306"
,
2051 1988 => x"f8848281"
,
2052 1989 => x"
80065271"
,
2053 1990 => x"
97388414"
,
2054 1991 => x"
84167108"
,
2055 1992 => x"
54565471"
,
2056 1993 => x"
75082ee0"
,
2057 1994 => x"
38737554"
,
2058 1995 => x"
52ff9a39"
,
2059 1996 => x"
800b800c"
,
2060 1997 => x"
873d0d04"
,
2061 1998 => x"fb3d0d77"
,
2062 1999 => x"
705256fe"
,
2063 2000 => x"ad3f80d6"
,
2064 2001 => x"c40b8805"
,
2065 2002 => x"
08841108"
,
2066 2003 => x"fc06707b"
,
2067 2004 => x"
319fef05"
,
2068 2005 => x"e08006e0"
,
2069 2006 => x"
80055255"
,
2070 2007 => x"
55a08075"
,
2071 2008 => x"
24943880"
,
2072 2009 => x"
527551fe"
,
2073 2010 => x"
873f80d6"
,
2074 2011 => x"cc081453"
,
2075 2012 => x"
7280082e"
,
2076 2013 => x"
8f387551"
,
2077 2014 => x"fdf53f80"
,
2078 2015 => x"
5372800c"
,
2079 2016 => x"
873d0d04"
,
2080 2017 => x"
74305275"
,
2081 2018 => x"
51fde53f"
,
2082 2019 => x"
8008ff2e"
,
2083 2020 => x"a83880d6"
,
2084 2021 => x"c40b8805"
,
2085 2022 => x"
08747631"
,
2086 2023 => x"
81078412"
,
2087 2024 => x"
0c5380d6"
,
2088 2025 => x"
88087531"
,
2089 2026 => x"
80d6880c"
,
2090 2027 => x"
7551fdbf"
,
2091 2028 => x"
3f810b80"
,
2092 2029 => x"
0c873d0d"
,
2093 2030 => x"
04805275"
,
2094 2031 => x"
51fdb13f"
,
2095 2032 => x"
80d6c40b"
,
2096 2033 => x"
88050880"
,
2097 2034 => x"
08713154"
,
2098 2035 => x"
548f7325"
,
2099 2036 => x"ffa43880"
,
2100 2037 => x"
0880d6b8"
,
2101 2038 => x"
083180d6"
,
2102 2039 => x"
880c7281"
,
2103 2040 => x"
0784150c"
,
2104 2041 => x"
7551fd87"
,
2105 2042 => x"
3f8053ff"
,
2106 2043 => x"
9039f73d"
,
2107 2044 => x"
0d7b7d54"
,
2108 2045 => x"
5a72802e"
,
2109 2046 => x"
82833879"
,
2110 2047 => x"
51fcef3f"
,
2111 2048 => x"f8138411"
,
2112 2049 => x"
0870fe06"
,
2113 2050 => x"
70138411"
,
2114 2051 => x"
08fc065c"
,
2115 2052 => x"
57585457"
,
2116 2053 => x"
80d6cc08"
,
2117 2054 => x"
742e82de"
,
2118 2055 => x"
38778415"
,
2119 2056 => x"
0c807381"
,
2120 2057 => x"
06565974"
,
2121 2058 => x"
792e81d5"
,
2122 2059 => x"
38771484"
,
2123 2060 => x"
11088106"
,
2124 2061 => x"
565374a0"
,
2125 2062 => x"
38771656"
,
2126 2063 => x"
7881e638"
,
2127 2064 => x"
88140855"
,
2128 2065 => x"
7480d6cc"
,
2129 2066 => x"
2e82f938"
,
2130 2067 => x"
8c140870"
,
2131 2068 => x"
8c170c75"
,
2132 2069 => x"
88120c58"
,
2133 2070 => x"
75810784"
,
2134 2071 => x"
180c7517"
,
2135 2072 => x"
76710c54"
,
2136 2073 => x"
78819138"
,
2137 2074 => x"
83ff7627"
,
2138 2075 => x"
81c83875"
,
2139 2076 => x"
892a7683"
,
2140 2077 => x"
2a545473"
,
2141 2078 => x"
802ebf38"
,
2142 2079 => x"
75862ab8"
,
2143 2080 => x"
05538474"
,
2144 2081 => x"
27b43880"
,
2145 2082 => x"db145394"
,
2146 2083 => x"
7427ab38"
,
2147 2084 => x"
758c2a80"
,
2148 2085 => x"ee055380"
,
2149 2086 => x"d474279e"
,
2150 2087 => x"
38758f2a"
,
2151 2088 => x"
80f70553"
,
2152 2089 => x"
82d47427"
,
2153 2090 => x"
91387592"
,
2154 2091 => x"
2a80fc05"
,
2155 2092 => x"
538ad474"
,
2156 2093 => x"
27843880"
,
2157 2094 => x"fe537210"
,
2158 2095 => x"
101080d6"
,
2159 2096 => x"c4058811"
,
2160 2097 => x"
08555573"
,
2161 2098 => x"
752e82bf"
,
2162 2099 => x"
38841408"
,
2163 2100 => x"fc065975"
,
2164 2101 => x"
79278d38"
,
2165 2102 => x"
88140854"
,
2166 2103 => x"
73752e09"
,
2167 2104 => x"
8106ea38"
,
2168 2105 => x"
8c140870"
,
2169 2106 => x"
8c190c74"
,
2170 2107 => x"
88190c77"
,
2171 2108 => x"
88120c55"
,
2172 2109 => x"
768c150c"
,
2173 2110 => x"
7951faf3"
,
2174 2111 => x"
3f8b3d0d"
,
2175 2112 => x"
04760877"
,
2176 2113 => x"
71315876"
,
2177 2114 => x"
05881808"
,
2178 2115 => x"
56567480"
,
2179 2116 => x"d6cc2e80"
,
2180 2117 => x"e0388c17"
,
2181 2118 => x"
08708c17"
,
2182 2119 => x"
0c758812"
,
2183 2120 => x"
0c53fe89"
,
2184 2121 => x"
39881408"
,
2185 2122 => x"
8c150870"
,
2186 2123 => x"
8c130c59"
,
2187 2124 => x"
88190cfe"
,
2188 2125 => x"a3397583"
,
2189 2126 => x"
2a705454"
,
2190 2127 => x"
80742481"
,
2191 2128 => x"
98387282"
,
2192 2129 => x"
2c81712b"
,
2193 2130 => x"
80d6c808"
,
2194 2131 => x"
0780d6c4"
,
2195 2132 => x"
0b84050c"
,
2196 2133 => x"
74101010"
,
2197 2134 => x"
80d6c405"
,
2198 2135 => x"
88110871"
,
2199 2136 => x"
8c1b0c70"
,
2200 2137 => x"
881b0c79"
,
2201 2138 => x"
88130c56"
,
2202 2139 => x"
5a55768c"
,
2203 2140 => x"
150cff84"
,
2204 2141 => x"
398159fd"
,
2205 2142 => x"b4397716"
,
2206 2143 => x"
73810654"
,
2207 2144 => x"
55729838"
,
2208 2145 => x"
76087771"
,
2209 2146 => x"
31587505"
,
2210 2147 => x"
8c180888"
,
2211 2148 => x"
1908718c"
,
2212 2149 => x"
120c8812"
,
2213 2150 => x"
0c555574"
,
2214 2151 => x"
81078418"
,
2215 2152 => x"
0c7680d6"
,
2216 2153 => x"c40b8805"
,
2217 2154 => x"
0c80d6c0"
,
2218 2155 => x"
087526fe"
,
2219 2156 => x"c73880d6"
,
2220 2157 => x"bc085279"
,
2221 2158 => x"
51fafd3f"
,
2222 2159 => x"
7951f9af"
,
2223 2160 => x"
3ffeba39"
,
2224 2161 => x"
81778c17"
,
2225 2162 => x"
0c778817"
,
2226 2163 => x"
0c758c19"
,
2227 2164 => x"
0c758819"
,
2228 2165 => x"
0c59fd80"
,
2229 2166 => x"
39831470"
,
2230 2167 => x"
822c8171"
,
2231 2168 => x"
2b80d6c8"
,
2232 2169 => x"
080780d6"
,
2233 2170 => x"c40b8405"
,
2234 2171 => x"
0c751010"
,
2235 2172 => x"
1080d6c4"
,
2236 2173 => x"
05881108"
,
2237 2174 => x"
718c1c0c"
,
2238 2175 => x"
70881c0c"
,
2239 2176 => x"
7a88130c"
,
2240 2177 => x"
575b5653"
,
2241 2178 => x"fee43980"
,
2242 2179 => x"
7324a338"
,
2243 2180 => x"
72822c81"
,
2244 2181 => x"
712b80d6"
,
2245 2182 => x"c8080780"
,
2246 2183 => x"d6c40b84"
,
2247 2184 => x"
050c5874"
,
2248 2185 => x"
8c180c73"
,
2249 2186 => x"
88180c76"
,
2250 2187 => x"
88160cfd"
,
2251 2188 => x"c3398313"
,
2252 2189 => x"
70822c81"
,
2253 2190 => x"
712b80d6"
,
2254 2191 => x"c8080780"
,
2255 2192 => x"d6c40b84"
,
2256 2193 => x"
050c5953"
,
2257 2194 => x"da397070"
,
2258 2195 => x"
7080e5f4"
,
2259 2196 => x"
08893881"
,
2260 2197 => x"b6a00b80"
,
2261 2198 => x"e5f40c80"
,
2262 2199 => x"e5f40875"
,
2263 2200 => x"
115252ff"
,
2264 2201 => x"
537087fb"
,
2265 2202 => x"
80802688"
,
2266 2203 => x"
387080e5"
,
2267 2204 => x"f40c7153"
,
2268 2205 => x"
72800c50"
,
2269 2206 => x"
505004fd"
,
2270 2207 => x"
3d0d800b"
,
2271 2208 => x"
80d5f408"
,
2272 2209 => x"
54547281"
,
2273 2210 => x"
2e9b3873"
,
2274 2211 => x"
80e5f80c"
,
2275 2212 => x"c3ee3fc2"
,
2276 2213 => x"eb3f80e5"
,
2277 2214 => x"cc528151"
,
2278 2215 => x"cc933f80"
,
2279 2216 => x"
085180dd"
,
2280 2217 => x"
3f7280e5"
,
2281 2218 => x"f80cc3d4"
,
2282 2219 => x"
3fc2d13f"
,
2283 2220 => x"
80e5cc52"
,
2284 2221 => x"
8151cbf9"
,
2285 2222 => x"
3f800851"
,
2286 2223 => x"
80c33f00"
,
2287 2224 => x"ff3900ff"
,
2288 2225 => x"
39f43d0d"
,
2289 2226 => x"
7e80e5ec"
,
2290 2227 => x"
08700870"
,
2291 2228 => x"
81ff0692"
,
2292 2229 => x"
3df80555"
,
2293 2230 => x"
515a5759"
,
2294 2231 => x"c48f3f80"
,
2295 2232 => x"
5477557b"
,
2296 2233 => x"
7d585276"
,
2297 2234 => x"
538e3df0"
,
2298 2235 => x"
0551de8e"
,
2299 2236 => x"
3f797b58"
,
2300 2237 => x"
790c7684"
,
2301 2238 => x"
1a0c7880"
,
2302 2239 => x"
0c8e3d0d"
,
2303 2240 => x"
04f73d0d"
,
2304 2241 => x"
7b80decc"
,
2305 2242 => x"
0882c811"
,
2306 2243 => x"
085a545a"
,
2307 2244 => x"
77802e80"
,
2308 2245 => x"da388188"
,
2309 2246 => x"
18841908"
,
2310 2247 => x"ff058171"
,
2311 2248 => x"
2b595559"
,
2312 2249 => x"
80742480"
,
2313 2250 => x"ea388074"
,
2314 2251 => x"
24b53873"
,
2315 2252 => x"
822b7811"
,
2316 2253 => x"
88055656"
,
2317 2254 => x"
81801908"
,
2318 2255 => x"
77065372"
,
2319 2256 => x"
802eb638"
,
2320 2257 => x"
78167008"
,
2321 2258 => x"
53537951"
,
2322 2259 => x"
74085372"
,
2323 2260 => x"
2dff14fc"
,
2324 2261 => x"
17fc1779"
,
2325 2262 => x"
812c5a57"
,
2326 2263 => x"
57547380"
,
2327 2264 => x"
25d63877"
,
2328 2265 => x"
085877ff"
,
2329 2266 => x"ad3880de"
,
2330 2267 => x"cc0853bc"
,
2331 2268 => x"
1308a538"
,
2332 2269 => x"
7951fec7"
,
2333 2270 => x"
3f740853"
,
2334 2271 => x"
722dff14"
,
2335 2272 => x"fc17fc17"
,
2336 2273 => x"
79812c5a"
,
2337 2274 => x"
57575473"
,
2338 2275 => x"
8025ffa8"
,
2339 2276 => x"
38d13980"
,
2340 2277 => x"
57ff9339"
,
2341 2278 => x"
7251bc13"
,
2342 2279 => x"
0854732d"
,
2343 2280 => x"
7951fe9b"
,
2344 2281 => x"
3f707080"
,
2345 2282 => x"e5d40bfc"
,
2346 2283 => x"
05700852"
,
2347 2284 => x"
5270ff2e"
,
2348 2285 => x"
9138702d"
,
2349 2286 => x"fc127008"
,
2350 2287 => x"
525270ff"
,
2351 2288 => x"
2e098106"
,
2352 2289 => x"f1385050"
,
2353 2290 => x"
0404c2ff"
,
2354 2291 => x"
3f040000"
,
2355 2292 => x"
00000040"
,
2356 2293 => x"
30313233"
,
2357 2294 => x"
34353637"
,
2358 2295 => x"
38390000"
,
2359 2296 => x"
44485259"
,
2360 2297 => x"
53544f4e"
,
2361 2298 => x"
45205052"
,
2362 2299 => x"
4f475241"
,
2363 2300 => x"
4d2c2053"
,
2364 2301 => x"
4f4d4520"
,
2365 2302 => x"
53545249"
,
2366 2303 => x"
4e470000"
,
2367 2304 => x"
44485259"
,
2368 2305 => x"
53544f4e"
,
2369 2306 => x"
45205052"
,
2370 2307 => x"
4f475241"
,
2371 2308 => x"
4d2c2031"
,
2372 2309 => x"
27535420"
,
2373 2310 => x"
53545249"
,
2374 2311 => x"
4e470000"
,
2375 2312 => x"
44687279"
,
2376 2313 => x"
73746f6e"
,
2377 2314 => x"
65204265"
,
2378 2315 => x"
6e63686d"
,
2379 2316 => x"
61726b2c"
,
2380 2317 => x"
20566572"
,
2381 2318 => x"
73696f6e"
,
2382 2319 => x"
20322e31"
,
2383 2320 => x"
20284c61"
,
2384 2321 => x"
6e677561"
,
2385 2322 => x"
67653a20"
,
2386 2323 => x"
43290a00"
,
2387 2324 => x"
50726f67"
,
2388 2325 => x"
72616d20"
,
2389 2326 => x"
636f6d70"
,
2390 2327 => x"
696c6564"
,
2391 2328 => x"
20776974"
,
2392 2329 => x"
68202772"
,
2393 2330 => x"
65676973"
,
2394 2331 => x"
74657227"
,
2395 2332 => x"
20617474"
,
2396 2333 => x"
72696275"
,
2397 2334 => x"
74650a00"
,
2398 2335 => x"
45786563"
,
2399 2336 => x"
7574696f"
,
2400 2337 => x"
6e207374"
,
2401 2338 => x"
61727473"
,
2402 2339 => x"
2c202564"
,
2403 2340 => x"
2072756e"
,
2404 2341 => x"
73207468"
,
2405 2342 => x"
726f7567"
,
2406 2343 => x"
68204468"
,
2407 2344 => x"
72797374"
,
2408 2345 => x"
6f6e650a"
,
2409 2346 => x"
00000000"
,
2410 2347 => x"
44485259"
,
2411 2348 => x"
53544f4e"
,
2412 2349 => x"
45205052"
,
2413 2350 => x"
4f475241"
,
2414 2351 => x"
4d2c2032"
,
2415 2352 => x"
274e4420"
,
2416 2353 => x"
53545249"
,
2417 2354 => x"
4e470000"
,
2418 2355 => x"
45786563"
,
2419 2356 => x"
7574696f"
,
2420 2357 => x"
6e20656e"
,
2421 2358 => x"
64730a00"
,
2422 2359 => x"
46696e61"
,
2423 2360 => x"
6c207661"
,
2424 2361 => x"
6c756573"
,
2425 2362 => x"
206f6620"
,
2426 2363 => x"
74686520"
,
2427 2364 => x"
76617269"
,
2428 2365 => x"
61626c65"
,
2429 2366 => x"
73207573"
,
2430 2367 => x"
65642069"
,
2431 2368 => x"
6e207468"
,
2432 2369 => x"
65206265"
,
2433 2370 => x"
6e63686d"
,
2434 2371 => x"
61726b3a"
,
2435 2372 => x"
0a000000"
,
2436 2373 => x"
496e745f"
,
2437 2374 => x"
476c6f62"
,
2438 2375 => x"
3a202020"
,
2439 2376 => x"
20202020"
,
2440 2377 => x"
20202020"
,
2441 2378 => x"
2025640a"
,
2442 2379 => x"
00000000"
,
2443 2380 => x"
20202020"
,
2444 2381 => x"
20202020"
,
2445 2382 => x"
73686f75"
,
2446 2383 => x"
6c642062"
,
2447 2384 => x"
653a2020"
,
2448 2385 => x"
2025640a"
,
2449 2386 => x"
00000000"
,
2450 2387 => x"
426f6f6c"
,
2451 2388 => x"
5f476c6f"
,
2452 2389 => x"
623a2020"
,
2453 2390 => x"
20202020"
,
2454 2391 => x"
20202020"
,
2455 2392 => x"
2025640a"
,
2456 2393 => x"
00000000"
,
2457 2394 => x"
43685f31"
,
2458 2395 => x"
5f476c6f"
,
2459 2396 => x"
623a2020"
,
2460 2397 => x"
20202020"
,
2461 2398 => x"
20202020"
,
2462 2399 => x"
2025630a"
,
2463 2400 => x"
00000000"
,
2464 2401 => x"
20202020"
,
2465 2402 => x"
20202020"
,
2466 2403 => x"
73686f75"
,
2467 2404 => x"
6c642062"
,
2468 2405 => x"
653a2020"
,
2469 2406 => x"
2025630a"
,
2470 2407 => x"
00000000"
,
2471 2408 => x"
43685f32"
,
2472 2409 => x"
5f476c6f"
,
2473 2410 => x"
623a2020"
,
2474 2411 => x"
20202020"
,
2475 2412 => x"
20202020"
,
2476 2413 => x"
2025630a"
,
2477 2414 => x"
00000000"
,
2478 2415 => x"
4172725f"
,
2479 2416 => x"
315f476c"
,
2480 2417 => x"
6f625b38"
,
2481 2418 => x"
5d3a2020"
,
2482 2419 => x"
20202020"
,
2483 2420 => x"
2025640a"
,
2484 2421 => x"
00000000"
,
2485 2422 => x"
4172725f"
,
2486 2423 => x"
325f476c"
,
2487 2424 => x"
6f625b38"
,
2488 2425 => x"
5d5b375d"
,
2489 2426 => x"
3a202020"
,
2490 2427 => x"
2025640a"
,
2491 2428 => x"
00000000"
,
2492 2429 => x"
20202020"
,
2493 2430 => x"
20202020"
,
2494 2431 => x"
73686f75"
,
2495 2432 => x"
6c642062"
,
2496 2433 => x"
653a2020"
,
2497 2434 => x"
204e756d"
,
2498 2435 => x"
6265725f"
,
2499 2436 => x"
4f665f52"
,
2500 2437 => x"
756e7320"
,
2501 2438 => x"
2b203130"
,
2502 2439 => x"
0a000000"
,
2503 2440 => x"
5074725f"
,
2504 2441 => x"
476c6f62"
,
2505 2442 => x"
2d3e0a00"
,
2506 2443 => x"
20205074"
,
2507 2444 => x"
725f436f"
,
2508 2445 => x"
6d703a20"
,
2509 2446 => x"
20202020"
,
2510 2447 => x"
20202020"
,
2511 2448 => x"
2025640a"
,
2512 2449 => x"
00000000"
,
2513 2450 => x"
20202020"
,
2514 2451 => x"
20202020"
,
2515 2452 => x"
73686f75"
,
2516 2453 => x"
6c642062"
,
2517 2454 => x"
653a2020"
,
2518 2455 => x"
2028696d"
,
2519 2456 => x"
706c656d"
,
2520 2457 => x"
656e7461"
,
2521 2458 => x"
74696f6e"
,
2522 2459 => x"
2d646570"
,
2523 2460 => x"
656e6465"
,
2524 2461 => x"
6e74290a"
,
2525 2462 => x"
00000000"
,
2526 2463 => x"
20204469"
,
2527 2464 => x"
7363723a"
,
2528 2465 => x"
20202020"
,
2529 2466 => x"
20202020"
,
2530 2467 => x"
20202020"
,
2531 2468 => x"
2025640a"
,
2532 2469 => x"
00000000"
,
2533 2470 => x"
2020456e"
,
2534 2471 => x"
756d5f43"
,
2535 2472 => x"
6f6d703a"
,
2536 2473 => x"
20202020"
,
2537 2474 => x"
20202020"
,
2538 2475 => x"
2025640a"
,
2539 2476 => x"
00000000"
,
2540 2477 => x"
2020496e"
,
2541 2478 => x"
745f436f"
,
2542 2479 => x"
6d703a20"
,
2543 2480 => x"
20202020"
,
2544 2481 => x"
20202020"
,
2545 2482 => x"
2025640a"
,
2546 2483 => x"
00000000"
,
2547 2484 => x"
20205374"
,
2548 2485 => x"
725f436f"
,
2549 2486 => x"
6d703a20"
,
2550 2487 => x"
20202020"
,
2551 2488 => x"
20202020"
,
2552 2489 => x"
2025730a"
,
2553 2490 => x"
00000000"
,
2554 2491 => x"
20202020"
,
2555 2492 => x"
20202020"
,
2556 2493 => x"
73686f75"
,
2557 2494 => x"
6c642062"
,
2558 2495 => x"
653a2020"
,
2559 2496 => x"
20444852"
,
2560 2497 => x"
5953544f"
,
2561 2498 => x"
4e452050"
,
2562 2499 => x"
524f4752"
,
2563 2500 => x"
414d2c20"
,
2564 2501 => x"
534f4d45"
,
2565 2502 => x"
20535452"
,
2566 2503 => x"
494e470a"
,
2567 2504 => x"
00000000"
,
2568 2505 => x"
4e657874"
,
2569 2506 => x"
5f507472"
,
2570 2507 => x"
5f476c6f"
,
2571 2508 => x"
622d3e0a"
,
2572 2509 => x"
00000000"
,
2573 2510 => x"
20202020"
,
2574 2511 => x"
20202020"
,
2575 2512 => x"
73686f75"
,
2576 2513 => x"
6c642062"
,
2577 2514 => x"
653a2020"
,
2578 2515 => x"
2028696d"
,
2579 2516 => x"
706c656d"
,
2580 2517 => x"
656e7461"
,
2581 2518 => x"
74696f6e"
,
2582 2519 => x"
2d646570"
,
2583 2520 => x"
656e6465"
,
2584 2521 => x"
6e74292c"
,
2585 2522 => x"
2073616d"
,
2586 2523 => x"
65206173"
,
2587 2524 => x"
2061626f"
,
2588 2525 => x"
76650a00"
,
2589 2526 => x"
496e745f"
,
2590 2527 => x"
315f4c6f"
,
2591 2528 => x"
633a2020"
,
2592 2529 => x"
20202020"
,
2593 2530 => x"
20202020"
,
2594 2531 => x"
2025640a"
,
2595 2532 => x"
00000000"
,
2596 2533 => x"
496e745f"
,
2597 2534 => x"
325f4c6f"
,
2598 2535 => x"
633a2020"
,
2599 2536 => x"
20202020"
,
2600 2537 => x"
20202020"
,
2601 2538 => x"
2025640a"
,
2602 2539 => x"
00000000"
,
2603 2540 => x"
496e745f"
,
2604 2541 => x"
335f4c6f"
,
2605 2542 => x"
633a2020"
,
2606 2543 => x"
20202020"
,
2607 2544 => x"
20202020"
,
2608 2545 => x"
2025640a"
,
2609 2546 => x"
00000000"
,
2610 2547 => x"
456e756d"
,
2611 2548 => x"
5f4c6f63"
,
2612 2549 => x"
3a202020"
,
2613 2550 => x"
20202020"
,
2614 2551 => x"
20202020"
,
2615 2552 => x"
2025640a"
,
2616 2553 => x"
00000000"
,
2617 2554 => x"
5374725f"
,
2618 2555 => x"
315f4c6f"
,
2619 2556 => x"
633a2020"
,
2620 2557 => x"
20202020"
,
2621 2558 => x"
20202020"
,
2622 2559 => x"
2025730a"
,
2623 2560 => x"
00000000"
,
2624 2561 => x"
20202020"
,
2625 2562 => x"
20202020"
,
2626 2563 => x"
73686f75"
,
2627 2564 => x"
6c642062"
,
2628 2565 => x"
653a2020"
,
2629 2566 => x"
20444852"
,
2630 2567 => x"
5953544f"
,
2631 2568 => x"
4e452050"
,
2632 2569 => x"
524f4752"
,
2633 2570 => x"
414d2c20"
,
2634 2571 => x"
31275354"
,
2635 2572 => x"
20535452"
,
2636 2573 => x"
494e470a"
,
2637 2574 => x"
00000000"
,
2638 2575 => x"
5374725f"
,
2639 2576 => x"
325f4c6f"
,
2640 2577 => x"
633a2020"
,
2641 2578 => x"
20202020"
,
2642 2579 => x"
20202020"
,
2643 2580 => x"
2025730a"
,
2644 2581 => x"
00000000"
,
2645 2582 => x"
20202020"
,
2646 2583 => x"
20202020"
,
2647 2584 => x"
73686f75"
,
2648 2585 => x"
6c642062"
,
2649 2586 => x"
653a2020"
,
2650 2587 => x"
20444852"
,
2651 2588 => x"
5953544f"
,
2652 2589 => x"
4e452050"
,
2653 2590 => x"
524f4752"
,
2654 2591 => x"
414d2c20"
,
2655 2592 => x"
32274e44"
,
2656 2593 => x"
20535452"
,
2657 2594 => x"
494e470a"
,
2658 2595 => x"
00000000"
,
2659 2596 => x"
55736572"
,
2660 2597 => x"
2074696d"
,
2661 2598 => x"
653a2025"
,
2662 2599 => x"
640a0000"
,
2663 2600 => x"
4d696372"
,
2664 2601 => x"
6f736563"
,
2665 2602 => x"
6f6e6473"
,
2666 2603 => x"
20666f72"
,
2667 2604 => x"
206f6e65"
,
2668 2605 => x"
2072756e"
,
2669 2606 => x"
20746872"
,
2670 2607 => x"
6f756768"
,
2671 2608 => x"
20446872"
,
2672 2609 => x"
7973746f"
,
2673 2610 => x"
6e653a20"
,
2674 2611 => x"
00000000"
,
2675 2612 => x"
2564200a"
,
2676 2613 => x"
00000000"
,
2677 2614 => x"
44687279"
,
2678 2615 => x"
73746f6e"
,
2679 2616 => x"
65732070"
,
2680 2617 => x"
65722053"
,
2681 2618 => x"
65636f6e"
,
2682 2619 => x"
643a2020"
,
2683 2620 => x"
20202020"
,
2684 2621 => x"
20202020"
,
2685 2622 => x"
20202020"
,
2686 2623 => x"
20202020"
,
2687 2624 => x"
20202020"
,
2688 2625 => x"
00000000"
,
2689 2626 => x"
56415820"
,
2690 2627 => x"
4d495053"
,
2691 2628 => x"
20726174"
,
2692 2629 => x"
696e6720"
,
2693 2630 => x"
2a203130"
,
2694 2631 => x"
3030203d"
,
2695 2632 => x"
20256420"
,
2696 2633 => x"
0a000000"
,
2697 2634 => x"
50726f67"
,
2698 2635 => x"
72616d20"
,
2699 2636 => x"
636f6d70"
,
2700 2637 => x"
696c6564"
,
2701 2638 => x"
20776974"
,
2702 2639 => x"
686f7574"
,
2703 2640 => x"
20277265"
,
2704 2641 => x"
67697374"
,
2705 2642 => x"
65722720"
,
2706 2643 => x"
61747472"
,
2707 2644 => x"
69627574"
,
2708 2645 => x"
650a0000"
,
2709 2646 => x"
4d656173"
,
2710 2647 => x"
75726564"
,
2711 2648 => x"
2074696d"
,
2712 2649 => x"
6520746f"
,
2713 2650 => x"
6f20736d"
,
2714 2651 => x"
616c6c20"
,
2715 2652 => x"
746f206f"
,
2716 2653 => x"
62746169"
,
2717 2654 => x"
6e206d65"
,
2718 2655 => x"
616e696e"
,
2719 2656 => x"
6766756c"
,
2720 2657 => x"
20726573"
,
2721 2658 => x"
756c7473"
,
2722 2659 => x"
0a000000"
,
2723 2660 => x"
506c6561"
,
2724 2661 => x"
73652069"
,
2725 2662 => x"
6e637265"
,
2726 2663 => x"
61736520"
,
2727 2664 => x"
6e756d62"
,
2728 2665 => x"
6572206f"
,
2729 2666 => x"
66207275"
,
2730 2667 => x"
6e730a00"
,
2731 2668 => x"
44485259"
,
2732 2669 => x"
53544f4e"
,
2733 2670 => x"
45205052"
,
2734 2671 => x"
4f475241"
,
2735 2672 => x"
4d2c2033"
,
2736 2673 => x"
27524420"
,
2737 2674 => x"
53545249"
,
2738 2675 => x"
4e470000"
,
2739 2676 => x"
00010202"
,
2740 2677 => x"
03030303"
,
2741 2678 => x"
04040404"
,
2742 2679 => x"
04040404"
,
2743 2680 => x"
05050505"
,
2744 2681 => x"
05050505"
,
2745 2682 => x"
05050505"
,
2746 2683 => x"
05050505"
,
2747 2684 => x"
06060606"
,
2748 2685 => x"
06060606"
,
2749 2686 => x"
06060606"
,
2750 2687 => x"
06060606"
,
2751 2688 => x"
06060606"
,
2752 2689 => x"
06060606"
,
2753 2690 => x"
06060606"
,
2754 2691 => x"
06060606"
,
2755 2692 => x"
07070707"
,
2756 2693 => x"
07070707"
,
2757 2694 => x"
07070707"
,
2758 2695 => x"
07070707"
,
2759 2696 => x"
07070707"
,
2760 2697 => x"
07070707"
,
2761 2698 => x"
07070707"
,
2762 2699 => x"
07070707"
,
2763 2700 => x"
07070707"
,
2764 2701 => x"
07070707"
,
2765 2702 => x"
07070707"
,
2766 2703 => x"
07070707"
,
2767 2704 => x"
07070707"
,
2768 2705 => x"
07070707"
,
2769 2706 => x"
07070707"
,
2770 2707 => x"
07070707"
,
2771 2708 => x"
08080808"
,
2772 2709 => x"
08080808"
,
2773 2710 => x"
08080808"
,
2774 2711 => x"
08080808"
,
2775 2712 => x"
08080808"
,
2776 2713 => x"
08080808"
,
2777 2714 => x"
08080808"
,
2778 2715 => x"
08080808"
,
2779 2716 => x"
08080808"
,
2780 2717 => x"
08080808"
,
2781 2718 => x"
08080808"
,
2782 2719 => x"
08080808"
,
2783 2720 => x"
08080808"
,
2784 2721 => x"
08080808"
,
2785 2722 => x"
08080808"
,
2786 2723 => x"
08080808"
,
2787 2724 => x"
08080808"
,
2788 2725 => x"
08080808"
,
2789 2726 => x"
08080808"
,
2790 2727 => x"
08080808"
,
2791 2728 => x"
08080808"
,
2792 2729 => x"
08080808"
,
2793 2730 => x"
08080808"
,
2794 2731 => x"
08080808"
,
2795 2732 => x"
08080808"
,
2796 2733 => x"
08080808"
,
2797 2734 => x"
08080808"
,
2798 2735 => x"
08080808"
,
2799 2736 => x"
08080808"
,
2800 2737 => x"
08080808"
,
2801 2738 => x"
08080808"
,
2802 2739 => x"
08080808"
,
2803 2740 => x"
43000000"
,
2804 2741 => x"
64756d6d"
,
2805 2742 => x"
792e6578"
,
2806 2743 => x"
65000000"
,
2807 2744 => x"
00ffffff"
,
2808 2745 => x"ff00ffff"
,
2809 2746 => x"ffff00ff"
,
2810 2747 => x"ffffff00"
,
2811 2748 => x"
00000000"
,
2812 2749 => x"
00000000"
,
2813 2750 => x"
00000000"
,
2814 2751 => x"
000032dc"
,
2815 2752 => x"
0000c350"
,
2816 2753 => x"
00000000"
,
2817 2754 => x"
00000000"
,
2818 2755 => x"
00000000"
,
2819 2756 => x"
00000000"
,
2820 2757 => x"
00000000"
,
2821 2758 => x"
00000000"
,
2822 2759 => x"
00000000"
,
2823 2760 => x"
00000000"
,
2824 2761 => x"
00000000"
,
2825 2762 => x"
00000000"
,
2826 2763 => x"
00000000"
,
2827 2764 => x"
00000000"
,
2828 2765 => x"
00000000"
,
2829 2766 => x"ffffffff"
,
2830 2767 => x"
00000000"
,
2831 2768 => x"
00020000"
,
2832 2769 => x"
00000000"
,
2833 2770 => x"
00000000"
,
2834 2771 => x"
00002b44"
,
2835 2772 => x"
00002b44"
,
2836 2773 => x"
00002b4c"
,
2837 2774 => x"
00002b4c"
,
2838 2775 => x"
00002b54"
,
2839 2776 => x"
00002b54"
,
2840 2777 => x"
00002b5c"
,
2841 2778 => x"
00002b5c"
,
2842 2779 => x"
00002b64"
,
2843 2780 => x"
00002b64"
,
2844 2781 => x"
00002b6c"
,
2845 2782 => x"
00002b6c"
,
2846 2783 => x"
00002b74"
,
2847 2784 => x"
00002b74"
,
2848 2785 => x"
00002b7c"
,
2849 2786 => x"
00002b7c"
,
2850 2787 => x"
00002b84"
,
2851 2788 => x"
00002b84"
,
2852 2789 => x"
00002b8c"
,
2853 2790 => x"
00002b8c"
,
2854 2791 => x"
00002b94"
,
2855 2792 => x"
00002b94"
,
2856 2793 => x"
00002b9c"
,
2857 2794 => x"
00002b9c"
,
2858 2795 => x"
00002ba4"
,
2859 2796 => x"
00002ba4"
,
2860 2797 => x"
00002bac"
,
2861 2798 => x"
00002bac"
,
2862 2799 => x"
00002bb4"
,
2863 2800 => x"
00002bb4"
,
2864 2801 => x"
00002bbc"
,
2865 2802 => x"
00002bbc"
,
2866 2803 => x"
00002bc4"
,
2867 2804 => x"
00002bc4"
,
2868 2805 => x"
00002bcc"
,
2869 2806 => x"
00002bcc"
,
2870 2807 => x"
00002bd4"
,
2871 2808 => x"
00002bd4"
,
2872 2809 => x"
00002bdc"
,
2873 2810 => x"
00002bdc"
,
2874 2811 => x"
00002be4"
,
2875 2812 => x"
00002be4"
,
2876 2813 => x"
00002bec"
,
2877 2814 => x"
00002bec"
,
2878 2815 => x"
00002bf4"
,
2879 2816 => x"
00002bf4"
,
2880 2817 => x"
00002bfc"
,
2881 2818 => x"
00002bfc"
,
2882 2819 => x"
00002c04"
,
2883 2820 => x"
00002c04"
,
2884 2821 => x"
00002c0c"
,
2885 2822 => x"
00002c0c"
,
2886 2823 => x"
00002c14"
,
2887 2824 => x"
00002c14"
,
2888 2825 => x"
00002c1c"
,
2889 2826 => x"
00002c1c"
,
2890 2827 => x"
00002c24"
,
2891 2828 => x"
00002c24"
,
2892 2829 => x"
00002c2c"
,
2893 2830 => x"
00002c2c"
,
2894 2831 => x"
00002c34"
,
2895 2832 => x"
00002c34"
,
2896 2833 => x"
00002c3c"
,
2897 2834 => x"
00002c3c"
,
2898 2835 => x"
00002c44"
,
2899 2836 => x"
00002c44"
,
2900 2837 => x"
00002c4c"
,
2901 2838 => x"
00002c4c"
,
2902 2839 => x"
00002c54"
,
2903 2840 => x"
00002c54"
,
2904 2841 => x"
00002c5c"
,
2905 2842 => x"
00002c5c"
,
2906 2843 => x"
00002c64"
,
2907 2844 => x"
00002c64"
,
2908 2845 => x"
00002c6c"
,
2909 2846 => x"
00002c6c"
,
2910 2847 => x"
00002c74"
,
2911 2848 => x"
00002c74"
,
2912 2849 => x"
00002c7c"
,
2913 2850 => x"
00002c7c"
,
2914 2851 => x"
00002c84"
,
2915 2852 => x"
00002c84"
,
2916 2853 => x"
00002c8c"
,
2917 2854 => x"
00002c8c"
,
2918 2855 => x"
00002c94"
,
2919 2856 => x"
00002c94"
,
2920 2857 => x"
00002c9c"
,
2921 2858 => x"
00002c9c"
,
2922 2859 => x"
00002ca4"
,
2923 2860 => x"
00002ca4"
,
2924 2861 => x"
00002cac"
,
2925 2862 => x"
00002cac"
,
2926 2863 => x"
00002cb4"
,
2927 2864 => x"
00002cb4"
,
2928 2865 => x"
00002cbc"
,
2929 2866 => x"
00002cbc"
,
2930 2867 => x"
00002cc4"
,
2931 2868 => x"
00002cc4"
,
2932 2869 => x"
00002ccc"
,
2933 2870 => x"
00002ccc"
,
2934 2871 => x"
00002cd4"
,
2935 2872 => x"
00002cd4"
,
2936 2873 => x"
00002cdc"
,
2937 2874 => x"
00002cdc"
,
2938 2875 => x"
00002ce4"
,
2939 2876 => x"
00002ce4"
,
2940 2877 => x"
00002cec"
,
2941 2878 => x"
00002cec"
,
2942 2879 => x"
00002cf4"
,
2943 2880 => x"
00002cf4"
,
2944 2881 => x"
00002cfc"
,
2945 2882 => x"
00002cfc"
,
2946 2883 => x"
00002d04"
,
2947 2884 => x"
00002d04"
,
2948 2885 => x"
00002d0c"
,
2949 2886 => x"
00002d0c"
,
2950 2887 => x"
00002d14"
,
2951 2888 => x"
00002d14"
,
2952 2889 => x"
00002d1c"
,
2953 2890 => x"
00002d1c"
,
2954 2891 => x"
00002d24"
,
2955 2892 => x"
00002d24"
,
2956 2893 => x"
00002d2c"
,
2957 2894 => x"
00002d2c"
,
2958 2895 => x"
00002d34"
,
2959 2896 => x"
00002d34"
,
2960 2897 => x"
00002d3c"
,
2961 2898 => x"
00002d3c"
,
2962 2899 => x"
00002d44"
,
2963 2900 => x"
00002d44"
,
2964 2901 => x"
00002d4c"
,
2965 2902 => x"
00002d4c"
,
2966 2903 => x"
00002d54"
,
2967 2904 => x"
00002d54"
,
2968 2905 => x"
00002d5c"
,
2969 2906 => x"
00002d5c"
,
2970 2907 => x"
00002d64"
,
2971 2908 => x"
00002d64"
,
2972 2909 => x"
00002d6c"
,
2973 2910 => x"
00002d6c"
,
2974 2911 => x"
00002d74"
,
2975 2912 => x"
00002d74"
,
2976 2913 => x"
00002d7c"
,
2977 2914 => x"
00002d7c"
,
2978 2915 => x"
00002d84"
,
2979 2916 => x"
00002d84"
,
2980 2917 => x"
00002d8c"
,
2981 2918 => x"
00002d8c"
,
2982 2919 => x"
00002d94"
,
2983 2920 => x"
00002d94"
,
2984 2921 => x"
00002d9c"
,
2985 2922 => x"
00002d9c"
,
2986 2923 => x"
00002da4"
,
2987 2924 => x"
00002da4"
,
2988 2925 => x"
00002dac"
,
2989 2926 => x"
00002dac"
,
2990 2927 => x"
00002db4"
,
2991 2928 => x"
00002db4"
,
2992 2929 => x"
00002dbc"
,
2993 2930 => x"
00002dbc"
,
2994 2931 => x"
00002dc4"
,
2995 2932 => x"
00002dc4"
,
2996 2933 => x"
00002dcc"
,
2997 2934 => x"
00002dcc"
,
2998 2935 => x"
00002dd4"
,
2999 2936 => x"
00002dd4"
,
3000 2937 => x"
00002ddc"
,
3001 2938 => x"
00002ddc"
,
3002 2939 => x"
00002de4"
,
3003 2940 => x"
00002de4"
,
3004 2941 => x"
00002dec"
,
3005 2942 => x"
00002dec"
,
3006 2943 => x"
00002df4"
,
3007 2944 => x"
00002df4"
,
3008 2945 => x"
00002dfc"
,
3009 2946 => x"
00002dfc"
,
3010 2947 => x"
00002e04"
,
3011 2948 => x"
00002e04"
,
3012 2949 => x"
00002e0c"
,
3013 2950 => x"
00002e0c"
,
3014 2951 => x"
00002e14"
,
3015 2952 => x"
00002e14"
,
3016 2953 => x"
00002e1c"
,
3017 2954 => x"
00002e1c"
,
3018 2955 => x"
00002e24"
,
3019 2956 => x"
00002e24"
,
3020 2957 => x"
00002e2c"
,
3021 2958 => x"
00002e2c"
,
3022 2959 => x"
00002e34"
,
3023 2960 => x"
00002e34"
,
3024 2961 => x"
00002e3c"
,
3025 2962 => x"
00002e3c"
,
3026 2963 => x"
00002e44"
,
3027 2964 => x"
00002e44"
,
3028 2965 => x"
00002e4c"
,
3029 2966 => x"
00002e4c"
,
3030 2967 => x"
00002e54"
,
3031 2968 => x"
00002e54"
,
3032 2969 => x"
00002e5c"
,
3033 2970 => x"
00002e5c"
,
3034 2971 => x"
00002e64"
,
3035 2972 => x"
00002e64"
,
3036 2973 => x"
00002e6c"
,
3037 2974 => x"
00002e6c"
,
3038 2975 => x"
00002e74"
,
3039 2976 => x"
00002e74"
,
3040 2977 => x"
00002e7c"
,
3041 2978 => x"
00002e7c"
,
3042 2979 => x"
00002e84"
,
3043 2980 => x"
00002e84"
,
3044 2981 => x"
00002e8c"
,
3045 2982 => x"
00002e8c"
,
3046 2983 => x"
00002e94"
,
3047 2984 => x"
00002e94"
,
3048 2985 => x"
00002e9c"
,
3049 2986 => x"
00002e9c"
,
3050 2987 => x"
00002ea4"
,
3051 2988 => x"
00002ea4"
,
3052 2989 => x"
00002eac"
,
3053 2990 => x"
00002eac"
,
3054 2991 => x"
00002eb4"
,
3055 2992 => x"
00002eb4"
,
3056 2993 => x"
00002ebc"
,
3057 2994 => x"
00002ebc"
,
3058 2995 => x"
00002ec4"
,
3059 2996 => x"
00002ec4"
,
3060 2997 => x"
00002ecc"
,
3061 2998 => x"
00002ecc"
,
3062 2999 => x"
00002ed4"
,
3063 3000 => x"
00002ed4"
,
3064 3001 => x"
00002edc"
,
3065 3002 => x"
00002edc"
,
3066 3003 => x"
00002ee4"
,
3067 3004 => x"
00002ee4"
,
3068 3005 => x"
00002eec"
,
3069 3006 => x"
00002eec"
,
3070 3007 => x"
00002ef4"
,
3071 3008 => x"
00002ef4"
,
3072 3009 => x"
00002efc"
,
3073 3010 => x"
00002efc"
,
3074 3011 => x"
00002f04"
,
3075 3012 => x"
00002f04"
,
3076 3013 => x"
00002f0c"
,
3077 3014 => x"
00002f0c"
,
3078 3015 => x"
00002f14"
,
3079 3016 => x"
00002f14"
,
3080 3017 => x"
00002f1c"
,
3081 3018 => x"
00002f1c"
,
3082 3019 => x"
00002f24"
,
3083 3020 => x"
00002f24"
,
3084 3021 => x"
00002f2c"
,
3085 3022 => x"
00002f2c"
,
3086 3023 => x"
00002f34"
,
3087 3024 => x"
00002f34"
,
3088 3025 => x"
00002f3c"
,
3089 3026 => x"
00002f3c"
,
3090 3027 => x"
00002f50"
,
3091 3028 => x"
00000000"
,
3092 3029 => x"
000031b8"
,
3093 3030 => x"
00003214"
,
3094 3031 => x"
00003270"
,
3095 3032 => x"
00000000"
,
3096 3033 => x"
00000000"
,
3097 3034 => x"
00000000"
,
3098 3035 => x"
00000000"
,
3099 3036 => x"
00000000"
,
3100 3037 => x"
00000000"
,
3101 3038 => x"
00000000"
,
3102 3039 => x"
00000000"
,
3103 3040 => x"
00000000"
,
3104 3041 => x"
00002ad0"
,
3105 3042 => x"
00000000"
,
3106 3043 => x"
00000000"
,
3107 3044 => x"
00000000"
,
3108 3045 => x"
00000000"
,
3109 3046 => x"
00000000"
,
3110 3047 => x"
00000000"
,
3111 3048 => x"
00000000"
,
3112 3049 => x"
00000000"
,
3113 3050 => x"
00000000"
,
3114 3051 => x"
00000000"
,
3115 3052 => x"
00000000"
,
3116 3053 => x"
00000000"
,
3117 3054 => x"
00000000"
,
3118 3055 => x"
00000000"
,
3119 3056 => x"
00000000"
,
3120 3057 => x"
00000000"
,
3121 3058 => x"
00000000"
,
3122 3059 => x"
00000000"
,
3123 3060 => x"
00000000"
,
3124 3061 => x"
00000000"
,
3125 3062 => x"
00000000"
,
3126 3063 => x"
00000000"
,
3127 3064 => x"
00000000"
,
3128 3065 => x"
00000000"
,
3129 3066 => x"
00000000"
,
3130 3067 => x"
00000000"
,
3131 3068 => x"
00000000"
,
3132 3069 => x"
00000000"
,
3133 3070 => x"
00000001"
,
3134 3071 => x"
330eabcd"
,
3135 3072 => x"
1234e66d"
,
3136 3073 => x"deec0005"
,
3137 3074 => x"
000b0000"
,
3138 3075 => x"
00000000"
,
3139 3076 => x"
00000000"
,
3140 3077 => x"
00000000"
,
3141 3078 => x"
00000000"
,
3142 3079 => x"
00000000"
,
3143 3080 => x"
00000000"
,
3144 3081 => x"
00000000"
,
3145 3082 => x"
00000000"
,
3146 3083 => x"
00000000"
,
3147 3084 => x"
00000000"
,
3148 3085 => x"
00000000"
,
3149 3086 => x"
00000000"
,
3150 3087 => x"
00000000"
,
3151 3088 => x"
00000000"
,
3152 3089 => x"
00000000"
,
3153 3090 => x"
00000000"
,
3154 3091 => x"
00000000"
,
3155 3092 => x"
00000000"
,
3156 3093 => x"
00000000"
,
3157 3094 => x"
00000000"
,
3158 3095 => x"
00000000"
,
3159 3096 => x"
00000000"
,
3160 3097 => x"
00000000"
,
3161 3098 => x"
00000000"
,
3162 3099 => x"
00000000"
,
3163 3100 => x"
00000000"
,
3164 3101 => x"
00000000"
,
3165 3102 => x"
00000000"
,
3166 3103 => x"
00000000"
,
3167 3104 => x"
00000000"
,
3168 3105 => x"
00000000"
,
3169 3106 => x"
00000000"
,
3170 3107 => x"
00000000"
,
3171 3108 => x"
00000000"
,
3172 3109 => x"
00000000"
,
3173 3110 => x"
00000000"
,
3174 3111 => x"
00000000"
,
3175 3112 => x"
00000000"
,
3176 3113 => x"
00000000"
,
3177 3114 => x"
00000000"
,
3178 3115 => x"
00000000"
,
3179 3116 => x"
00000000"
,
3180 3117 => x"
00000000"
,
3181 3118 => x"
00000000"
,
3182 3119 => x"
00000000"
,
3183 3120 => x"
00000000"
,
3184 3121 => x"
00000000"
,
3185 3122 => x"
00000000"
,
3186 3123 => x"
00000000"
,
3187 3124 => x"
00000000"
,
3188 3125 => x"
00000000"
,
3189 3126 => x"
00000000"
,
3190 3127 => x"
00000000"
,
3191 3128 => x"
00000000"
,
3192 3129 => x"
00000000"
,
3193 3130 => x"
00000000"
,
3194 3131 => x"
00000000"
,
3195 3132 => x"
00000000"
,
3196 3133 => x"
00000000"
,
3197 3134 => x"
00000000"
,
3198 3135 => x"
00000000"
,
3199 3136 => x"
00000000"
,
3200 3137 => x"
00000000"
,
3201 3138 => x"
00000000"
,
3202 3139 => x"
00000000"
,
3203 3140 => x"
00000000"
,
3204 3141 => x"
00000000"
,
3205 3142 => x"
00000000"
,
3206 3143 => x"
00000000"
,
3207 3144 => x"
00000000"
,
3208 3145 => x"
00000000"
,
3209 3146 => x"
00000000"
,
3210 3147 => x"
00000000"
,
3211 3148 => x"
00000000"
,
3212 3149 => x"
00000000"
,
3213 3150 => x"
00000000"
,
3214 3151 => x"
00000000"
,
3215 3152 => x"
00000000"
,
3216 3153 => x"
00000000"
,
3217 3154 => x"
00000000"
,
3218 3155 => x"
00000000"
,
3219 3156 => x"
00000000"
,
3220 3157 => x"
00000000"
,
3221 3158 => x"
00000000"
,
3222 3159 => x"
00000000"
,
3223 3160 => x"
00000000"
,
3224 3161 => x"
00000000"
,
3225 3162 => x"
00000000"
,
3226 3163 => x"
00000000"
,
3227 3164 => x"
00000000"
,
3228 3165 => x"
00000000"
,
3229 3166 => x"
00000000"
,
3230 3167 => x"
00000000"
,
3231 3168 => x"
00000000"
,
3232 3169 => x"
00000000"
,
3233 3170 => x"
00000000"
,
3234 3171 => x"
00000000"
,
3235 3172 => x"
00000000"
,
3236 3173 => x"
00000000"
,
3237 3174 => x"
00000000"
,
3238 3175 => x"
00000000"
,
3239 3176 => x"
00000000"
,
3240 3177 => x"
00000000"
,
3241 3178 => x"
00000000"
,
3242 3179 => x"
00000000"
,
3243 3180 => x"
00000000"
,
3244 3181 => x"
00000000"
,
3245 3182 => x"
00000000"
,
3246 3183 => x"
00000000"
,
3247 3184 => x"
00000000"
,
3248 3185 => x"
00000000"
,
3249 3186 => x"
00000000"
,
3250 3187 => x"
00000000"
,
3251 3188 => x"
00000000"
,
3252 3189 => x"
00000000"
,
3253 3190 => x"
00000000"
,
3254 3191 => x"
00000000"
,
3255 3192 => x"
00000000"
,
3256 3193 => x"
00000000"
,
3257 3194 => x"
00000000"
,
3258 3195 => x"
00000000"
,
3259 3196 => x"
00000000"
,
3260 3197 => x"
00000000"
,
3261 3198 => x"
00000000"
,
3262 3199 => x"
00000000"
,
3263 3200 => x"
00000000"
,
3264 3201 => x"
00000000"
,
3265 3202 => x"
00000000"
,
3266 3203 => x"
00000000"
,
3267 3204 => x"
00000000"
,
3268 3205 => x"
00000000"
,
3269 3206 => x"
00000000"
,
3270 3207 => x"
00000000"
,
3271 3208 => x"
00000000"
,
3272 3209 => x"
00000000"
,
3273 3210 => x"
00000000"
,
3274 3211 => x"
00000000"
,
3275 3212 => x"
00000000"
,
3276 3213 => x"
00000000"
,
3277 3214 => x"
00000000"
,
3278 3215 => x"
00000000"
,
3279 3216 => x"
00000000"
,
3280 3217 => x"
00000000"
,
3281 3218 => x"
00000000"
,
3282 3219 => x"
00000000"
,
3283 3220 => x"
00000000"
,
3284 3221 => x"
00000000"
,
3285 3222 => x"
00000000"
,
3286 3223 => x"
00000000"
,
3287 3224 => x"
00000000"
,
3288 3225 => x"
00000000"
,
3289 3226 => x"
00000000"
,
3290 3227 => x"
00000000"
,
3291 3228 => x"
00000000"
,
3292 3229 => x"
00000000"
,
3293 3230 => x"
00000000"
,
3294 3231 => x"
00000000"
,
3295 3232 => x"
00000000"
,
3296 3233 => x"
00000000"
,
3297 3234 => x"
00000000"
,
3298 3235 => x"
00000000"
,
3299 3236 => x"
00000000"
,
3300 3237 => x"
00000000"
,
3301 3238 => x"
00000000"
,
3302 3239 => x"
00000000"
,
3303 3240 => x"
00000000"
,
3304 3241 => x"
00000000"
,
3305 3242 => x"
00000000"
,
3306 3243 => x"
00000000"
,
3307 3244 => x"
00000000"
,
3308 3245 => x"
00000000"
,
3309 3246 => x"
00000000"
,
3310 3247 => x"
00000000"
,
3311 3248 => x"
00000000"
,
3312 3249 => x"
00000000"
,
3313 3250 => x"
00000000"
,
3314 3251 => x"
00002ad4"
,
3315 3252 => x"ffffffff"
,
3316 3253 => x"
00000000"
,
3317 3254 => x"ffffffff"
,
3318 3255 => x"
00000000"
,
3319 3256 => x"
00000000"
,
3320 others => x"
00000000"
3327 if (clk
'event and clk
= '1') then
3328 if (memAWriteEnable
= '1') and (memBWriteEnable
= '1') and (memAAddr
=memBAddr
) and (memAWrite
/=memBWrite
) then
3329 report "write collision"
severity failure
;
3332 if (memAWriteEnable
= '1') then
3333 ram
(to_integer
(unsigned
(memAAddr
))) := memAWrite
;
3334 memARead
<= memAWrite
;
3336 memARead
<= ram
(to_integer
(unsigned
(memAAddr
)));
3343 if (clk
'event and clk
= '1') then
3344 if (memBWriteEnable
= '1') then
3345 ram
(to_integer
(unsigned
(memBAddr
))) := memBWrite
;
3346 memBRead
<= memBWrite
;
3348 memBRead
<= ram
(to_integer
(unsigned
(memBAddr
)));
3356 end dualport_ram_arch
;